US7429538B2 - Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric - Google Patents

Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric Download PDF

Info

Publication number
US7429538B2
US7429538B2 US11/167,526 US16752605A US7429538B2 US 7429538 B2 US7429538 B2 US 7429538B2 US 16752605 A US16752605 A US 16752605A US 7429538 B2 US7429538 B2 US 7429538B2
Authority
US
United States
Prior art keywords
silicon oxynitride
film
oxygen
partial pressure
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/167,526
Other languages
English (en)
Other versions
US20060292844A1 (en
Inventor
Christopher S. Olsen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OLSEN, CHRISTOPHER S.
Priority to US11/167,526 priority Critical patent/US7429538B2/en
Priority to KR1020077031042A priority patent/KR100993124B1/ko
Priority to JP2008518181A priority patent/JP5072837B2/ja
Priority to PCT/US2006/020508 priority patent/WO2007001709A2/en
Priority to CN2006800229813A priority patent/CN101208782B/zh
Priority to TW095119255A priority patent/TWI343604B/zh
Publication of US20060292844A1 publication Critical patent/US20060292844A1/en
Publication of US7429538B2 publication Critical patent/US7429538B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • Embodiments of the present invention generally relate to the field of semiconductor manufacturing. More specifically, the present invention relates to a method of forming a silicon oxynitride (SiO x N y ) gate dielectric and integrating it into a gate stack using plasma nitridation and a two-step post plasma nitridation annealing (PNA) process.
  • SiO x N y silicon oxynitride
  • PNA post plasma nitridation annealing
  • Integrated circuits are made up of literally millions of active and passive devices that function as basic components such as transistors, capacitors and resistors.
  • a transistor generally includes a source, a drain, and a gate stack.
  • the gate stack consists of the substrate (silicon) on top of which is grown a dielectric (usually silicon dioxide: SiO 2 ) and this is capped with an electrode (such as polycrystalline silicon).
  • SiO 2 gate dielectrics below 20 ⁇ .
  • boron from a boron doped gate electrode can penetrate through a thin SiO 2 gate dielectric into the underlying silicon substrate.
  • gate leakage i.e., tunneling
  • Thin SiO 2 gate dielectrics may be susceptible to hot carrier damage, in which high energy carriers traveling across the dielectric can damage or destroy the gate.
  • Thin SiO 2 gate dielectrics may also be susceptible to negative bias temperature instability (NBTI), wherein the threshold voltage or drive current drifts with operation of the gate.
  • NBTI negative bias temperature instability
  • the alternative gate dielectric material must have a dielectric constant that is higher than that of silicon dioxide.
  • the thickness of such an alternative dielectric material layer is expressed in terms of the equivalent oxide thickness (EOT).
  • EOT equivalent oxide thickness
  • One method that has been used to address the problems with thin SiO 2 gate dielectrics is to incorporate nitrogen into the SiO 2 layer to form a SiO x N y gate dielectric. Incorporating nitrogen into the SiO 2 layer blocks boron penetration into the underlying silicon substrate and raises the dielectric constant of the gate dielectric, allowing the use of a thicker dielectric layer.
  • Thermally grown silicon oxynitride has been used as gate dielectrics for several years from the 0.2 ⁇ m to 0.13 ⁇ m device generations. As the device technology has advanced from 0.2 ⁇ m to 0.1 ⁇ m the gate oxide has thinned from >25 ⁇ to ⁇ 12 ⁇ . In order to block boron and reduce gate leakage the amount of nitrogen in the film has been increased from ⁇ 3% for 25 ⁇ SiO x N y layers to 5-10% for 12 ⁇ SiO x N y layers.
  • nitric oxide (NO) and nitrous oxide (N 2 O) are used to grow the oxynitride gate dielectric the nitrogen gets incorporated in the dielectric film simultaneously as the oxynitride grows, hence nitrogen is distributed evenly in the film.
  • NO or N 2 O are used to form silicon oxynitride by annealing an existing SiO 2 layer at elevated temperatures, the nitrogen is incorporated by growing SiON at the Si-substrate/Oxide interface.
  • the amount of Nitrogen in the latter case ( ⁇ 2%) is less than in the former case (4-5%).
  • PN plasma nitridation
  • This technique results in high nitrogen concentration at the poly gate/oxide interface, which prevents boron penetration into the oxide dielectric.
  • the bulk of the oxide dielectric gets lightly doped with unassociated nitrogen during the plasma nitridation process, which reduces the electrical oxide thickness (EOT) over the starting oxide. This allows one to achieve a gate leakage reduction at the same EOT higher than conventional thermal processes. Scaling this dielectric in the EOT ⁇ 12 ⁇ range while preserving good channel mobility and drive current (Idsat) has been the industry challenge.
  • SiO 2 At low pressure and high temperature, SiO 2 will breakdown into SiO which desorbs from the silicon surface resulting in a phenomenon called pitting.
  • a gate electrode is deposited on the silicon oxynitride film after the two-step post plasma annealing.
  • the gate electrode comprises a polysilicon film.
  • the gate electrode comprises an amorphous silicon film.
  • the gate electrode comprises a metal electrode.
  • Embodiments of the invention also provide a method of forming a SiO x N y gate dielectric in an integrated processing system.
  • a silicon substrate is introduced into a first processing chamber of the integrated processing system where a silicon dioxide film is formed on the substrate.
  • the substrate is transferred to a second processing chamber of the integrated processing system where the substrate is exposed to a plasma comprising a nitrogen source.
  • the substrate is then transferred to a third processing chamber of the integrated processing system where the substrate is subjected to a two-step post plasma anneal.
  • the first step involves annealing the silicon oxynitirde film in a first ambient comprising an inert ambient with a first partial pressure of oxygen at a first temperature.
  • the second step involves annealing the silicon oxynitride film in a second ambient comprising a second partial pressure of oxygen at a second temperature wherein the second partial pressure of oxygen is greater than the first partial pressure of oxygen.
  • the plasma nitridation process includes decoupled plasma nitridation.
  • a SiO x N y gate dielectric is formed by a method comprising heating a structure comprising a silicon oxide film on a silicon substrate in an atmosphere comprising NH 3 to incorporate nitrogen into the silicon oxide film, and then exposing the structure to a plasma comprising a nitrogen source to form a SiO x N y gate dielectric on the substrate.
  • FIG. 1 is a top schematic view of an integrated processing system.
  • FIG. 2 is a flow chart depicting an embodiment of the invention.
  • FIG. 3 illustrates an exemplary sequence of forming a gate stack in accordance with the embodiments of the present invention.
  • FIG. 4 is a graph showing Negative Channel Metal Oxide Semiconductor (NMOS) drive current relative to NMOS gate leakage for gate stacks comprising SiO x N y gate dielectrics.
  • NMOS Negative Channel Metal Oxide Semiconductor
  • Embodiments of the invention include a method of forming a dielectric that includes nitrogen, such as SiON or SiO x N y (silicon oxynitride) using a nitrogen plasma (or plasma nitridation) process.
  • the silicon oxynitride is then subjected to a two-step post plasma nitridation annealing processes.
  • the embodiments allow for the control of the EOT and the nitrogen concentration profile of the silicon oxynitride film.
  • a method of forming a silicon oxynitride dielectric film using a plasma nitridation process such as Decoupled Plasma Nitridation (DPN).
  • a plasma nitridation process such as Decoupled Plasma Nitridation (DPN).
  • the silicon oxynitride is subjected to a two-step post plasma nitridation annealing (PNA) process.
  • a first PNA step is performed using an inert agent in combination with a trace amount of oxygen to densify the silicon oxynitride.
  • a second PNA step is performed with an oxidizing agent to modify the nitrogen concentration profile.
  • the two-step PNA process moves nitrogen towards the surface of the silicon oxynitride and the substrate thus blocking Boron more efficiently.
  • the concentration profile of nitrogen tends to peak at the surface of the silicon oxynitride.
  • a method of integrating the silicon oxynitride film formed using a plasma nitridation process followed by a two-step PNA process into a gate stack for forming a semiconductor device such as a transistor in another embodiment, there is provided a method of integrating the silicon oxynitride film formed using a plasma nitridation process followed by a two-step PNA process into a gate stack for forming a semiconductor device such as a transistor.
  • a substrate having a silicon dioxide film formed thereon is subjected to a plasma nitridation process to convert the silicon dioxide film into silicon oxynitride film.
  • the plasma nitridation process used is Decoupled Plasma Nitridation (DPN), which is known in the art.
  • DPN is a technology using inductive coupling to generate nitrogen plasma and incorporate a high level of nitrogen into an oxide film.
  • a surface film e.g., an SiO 2 film, is bombarded with nitrogen ions which break the SiO 2 film forming a silicon oxynitride film.
  • DPN is performed in a chamber with pressure ranging from about 5-20 mTorr or 10-20 mTorr, with a plasma power of 200-800 Watt.
  • the nitrogen gas may be flown into the chamber at a flow rate ranging from about 100-200 sccm.
  • the DPN uses a pulse radio frequency plasma process at about 10-20 MHz and pulse at about 5-15 kHz.
  • the DPN process parameters can be modified depending on the chamber size and volume, and the desired thickness of the dielectric film.
  • Decoupled Plasma Nitridation chamber Other details of the Decoupled Plasma Nitridation chamber are described in U.S. Patent Application Publication No. 2004/0242021, entitled “Method And Apparatus For Plasma Nitridation Of Gate Dielectrics Using Amplitude Modulated Radio Frequency Energy,” assigned to Applied Materials, Inc., and herein incorporated by reference to the extent not inconsistent with the invention.
  • suitable DPN chambers include the DPN CenturaTM, which is commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the silicon oxynitride film is annealed twice.
  • the silicon oxynitride is annealed to density the nitrogen concentration.
  • the first annealing step is carried out in an inert ambient, using an inert gas such as N 2 , He, Ar, or a combination thereof combined with a trace amount of oxygen.
  • the first annealing step is carried out immediately after the plasma nitridation process.
  • the first PNA step is performed at a temperature between about 700° C. and about 1100° C., such as a temperature between about 950° C. and 1100° C.
  • the second PNA step follows the first PNA step.
  • the annealing ambient is changed over to one which contains an oxidizing agent (or an oxygen comprising agent) such as O 2 , O 2 /N 2 , O 2 /Ar, O 2 /He, N 2 O, or NO.
  • the second PNA step is carried out at reduced pressure ranging from about 10 mTorr to about 100 Torr and at a temperature between about 900° C. and about 1100° C. or between about 1000° C. and 1050° C.
  • the second PNA step can be carried out for about 1-120 seconds.
  • the temperature, time, and pressure of the second PNA step are controlled to achieve a 0.1 ⁇ to 2 ⁇ increase in the EOT of the silicon oxynitride.
  • both the first PNA step and the second PNA step are performed in a single wafer rapid thermal processing (RTP) chamber configured to carry out the rapid thermal annealing (RTA) process.
  • RTP rapid thermal processing
  • a commercially available reduced pressure (RTP) chamber hardware such as XE, XE Plus or Radiance made by Applied Materials, Inc. can be used to carry out the first and second PNA processes.
  • the SiO x N y gate dielectric described herein comprises at least 5 atomic percent nitrogen.
  • the SiO x N y gate dielectric comprises between about 5 atomic percent nitrogen and about 15 atomic percent nitrogen.
  • a gate electrode such as a polysilicon layer, an amormphous silicon layer, or a metal layer may be deposited on the SiO x N y gate dielectric to complete a gate stack.
  • a SiO x N y gate dielectric may be formed on a substrate in an integrated processing system, such as an integrated semiconductor processing system, in a method in which the substrate is not removed from the integrated processing system until after the SiO x N y gate dielectric is formed.
  • an integrated processing system 100 that may be used is the Gate Stack Centura® system, available from Applied Materials, Inc. of Santa Clara, Calif., which is shown in FIG. 1 .
  • the integrated processing system 100 includes a central transfer chamber 102 , a transfer robot 103 , load locks 104 , 106 , a cool down chamber 108 , a deposition chamber 110 , a plasma processing chamber 114 , and two rapid thermal processing (RTP) chambers 116 and 118 .
  • the deposition chamber 110 can be a conventional chemical or physical vapor deposition that can be used to form a film or a layer as is know in the art.
  • CVD processing chamber 110 is a low pressure chemical vapor deposition chamber (LPCVD), such as a POLYgen chamber, available from Applied Materials.
  • the RTP chambers 116 and 118 are chambers that can run a rapid thermal annealing (RTA) process at a reduced or ultra-low pressure (e.g., about equal to or less than 10 Torr).
  • RTA rapid thermal annealing
  • a substrate is introduced into an integrated processing system and a silicon oxide film, a SiO x N y gate dielectric, and a gate electrode are deposited on the substrate without removing the substrate from the integrated processing system. This embodiment will be described below with respect to FIGS. 1 and 2 .
  • the processing conditions for embodiments in which the SiO x N y gate dielectric is formed in an integrated processing system are the same as the processing conditions described above for the formation of the silicon oxide film and the SiO x N y gate dielectric.
  • a silicon substrate is introduced into the integrated processing system 100 via a load lock 104 or 106 and placed in RTP chamber 116 , where a silicon oxide film is formed on the silicon substrate, as shown in steps 200 and 202 of FIG. 2 .
  • the structure, including the substrate and the silicon oxide film is then transferred to a plasma processing chamber 114 , where it is exposed to a plasma comprising a nitrogen source to incorporate nitrogen into the silicon oxide film and form the SiO x N y gate dielectric, as shown in steps 204 and 206 .
  • the structure is transferred to RTP chamber 116 as shown in step 208 where the structure is annealed, as shown in steps 210 and 212 .
  • the structure is then transferred to CVD processing chamber 110 , as shown in step 214 , and a gate electrode, such as a polysilicon layer or an amorphous silicon layer is deposited on the structure, as shown in step 216 .
  • the structure is then removed from the integrated processing system 100 via a load lock 104 or 106 .
  • the silicon oxide film is formed on the substrate in RTP chamber 116 in one step and the structure is annealed in RTP chamber 118 in another step.
  • a single RTP chamber is configured to form the silicon oxide film and to also perform the two-step post plasma anneal.
  • a structure comprising a silicon dioxide film on a silicon substrate is heated in an atmosphere comprising NH 3 in a first processing chamber of the integrated processing system to incorporate nitrogen into the silicon oxide film. Because the substrate is exposed to an atmosphere comprising NH 3 within an enclosed integrated processing system, contaminating oxygen is typically not incorporated into the structure during the heating in an atmosphere comprising NH 3 .
  • the structure is then transferred to a second processing chamber of the integrated processing system and exposed to a plasma comprising a nitrogen source in the second processing chamber. After exposing the structure to the plasma, the structure is transferred to a third processing chamber of the integrated processing system and annealed in the third processing chamber.
  • heating the structure in an atmosphere comprising NH 3 prior to treating the structure with a plasma comprising nitrogen smoothens the interface between the gate dielectric and the underlying silicon substrate, resulting in enhanced device performance and reliability. It is also believed that the formation of a slightly thicker oxide film by heating the structure first in an atmosphere comprising NH 3 prior to plasma treating the structure enhances the performance characteristics of a PMOS device.
  • FIG. 3 illustrates an exemplary sequence of forming a gate stack in accordance with embodiments of the present invention.
  • the substrate 302 can be a monocrystalline silicon or a semiconductor wafer typically used in making semiconductor devices.
  • the SiO 2 film 304 has a physical thickness of about 4-15 ⁇ .
  • the SiO 2 film 304 is grown using a reduced pressure RTP chamber such as the RTP chamber 116 of the integrated processing system 100 ( FIG. 1 ).
  • the SiO 2 film 304 is formed by a rapid thermal oxidation, which is an oxidation process where the chamber uses lamps to quickly heat and dry a substrate surface to form an oxidized layer in the presence of oxygen.
  • the rapid thermal oxidation of a silicon substrate (or a wafer) is carried out using a dry process rapid thermal oxidation with the presence of O 2 , O 2 +N 2 , O 2 +Ar, N 2 O, or N 2 O+N 2 gas mixtures.
  • the gas or gas mixtures can have a total flow rate of about 1-5 slm.
  • the rapid thermal oxidation of a silicon substrate is carried out using a wet process such as In-Situ Steam Generation (ISSG) with the presence of O 2 +H 2 , O 2 +H 2 +N 2 , or N 2 O+H 2 having, for example, a total flow rate of about 1-5 slm with 1-13% H 2 .
  • the rapid thermal oxidation process used to form the SiO 2 dielectric film is performed at a processing temperature of about 750-1000° C. and a processing pressure of about 0.5-50 Torr for about 5-90 seconds which results in an SiO 2 dielectric film having a thickness in the range of about 4-15 ⁇ .
  • the substrate 302 is transferred to the DPN chamber 114 of the integrated processing system 100 under an inert (e.g., N 2 or Ar) environment with the transfer chamber pressure being approximately at the same pressure for the plasma nitiridation process.
  • the plasma nitridation process exposes the SiO 2 film 304 to nitrogen plasma and incorporates nitrogen into the SiO 2 film 304 to form a silicon oxynitride film 304 .
  • the DPN chamber 114 is a reduced pressure inductively coupled RF plasma reactor that can accommodate an inert gas such as N 2 , He, or Ar.
  • the silicon oxynitride film 304 is then subjected to a two-step post nitridation anneal (PNA) process in an RTP chamber, e.g. the RTP chamber 118 of the integrated processing system 100 .
  • the RTP chamber 118 can be a reduced pressure chamber reactor such as an Applied Materials reactor XE, XE Plus, or Radiance.
  • the PNA occurs, first in a mild oxidizing ambient (inert ambient) to densify the nitrogen plasma treated film (the silicon oxynitride film 304 ) at a temperature of about equal to or greater than 700° C., followed by a second anneal in an oxidizing ambient at a temperature of about equal to or greater than 900° C.
  • an inert gas e.g., N 2 or Ar
  • a trace amount of O 2 is flown into the RTP chamber to densify the silicon oxynitride film 304 .
  • the first PNA includes heating up the substrate having the silicon oxynitride film 304 to the appropriate annealing temperature of about equal to or greater than 700° C. at less than or equal to about 5 Torr total pressure.
  • the inert gas such as N 2 gas of about 1 slm is flown into the RTP chamber for about 60-120 seconds and a trace amount of oxygen is flown into the chamber at a flow rate of about 30 sccm and a pressure of about 5 Torr.
  • the RTP chamber is evacuated of the inert gas and an oxidizing gas such as O 2 is flown into the RTP chamber for the second PNA.
  • the temperature may be changed to about or greater than 900° C.
  • the oxidizing gas is flown into the RTP chamber at about 1 slm total flow rate for about 15 seconds. It is to be appreciated that the flow rates mentioned are examples only for a particular reactor or process chamber size (e.g., a 200 mm reactor). The flow rates are proportionately adjusted (increased or decreased) for other size reactors owing to the difference in volume.
  • the silicon oxynitride film 304 is capped with a conductive layer such as a polysilicon film 306 .
  • the polysilicon film 306 can be formed in a deposition chamber such as the deposition chamber 110 of the integrated processing system 100 ( FIG. 1 ). Instead of polysilicon, the film 306 can be an amorphous silicon film or other suitable conductive material. Further, metals such as titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, and other refractory metals or other suitable electrode materials may be deposited thereover.
  • the deposition chamber 110 can be a low-pressure chemical vapor deposition chamber (LPCVD) that can be incorporated into the integrated processing system 100 .
  • LPCVD low-pressure chemical vapor deposition chamber
  • the gate stack is transferred to a cool down chamber 108 and then transferred to a storage area such as the load locks 104 and 106 for further processing, testing, or other processes known in the art.
  • the gate stack that includes the gate dielectric film and the polysilicon cap film can be formed in several processing chambers not necessarily incorporated into the integrated processing system 100 previously described.
  • the SiO 2 film can be formed first in one chamber.
  • the SiO 2 film can be converted into silicon oxynitride in a plasma nitridation chamber.
  • the silicon oxynitride chamber is then annealed in a two-step PNA process using an RTP chamber.
  • the polysilicon film is formed over a SiON or a SiO x N y film in the same RTP chamber.
  • a trace amount of oxygen in the first step of a two-step post anneal of silicon oxynitride improves NMOS drive current relative to NMOS gate leakage.
  • the x-axis represents NMOS gate leakage and the y-axis represents NMOS drive current.
  • a SiO 2 film of about 10 ⁇ is used as the base oxide.
  • various post-annealing conditions were used to anneal the film. For instance, a first step involving annealing at 1000° C. for 30 seconds at 15 mTorr partial pressure of oxygen in the presence of nitrogen gas is followed by a second step at 1000° C. for 15 seconds at 10 Torr of oxygen.
  • a first step involving annealing at 1000° C. for 15 seconds at 15 mTorr in the presence of nitrogen gas is followed by a second step at 1050° C. for 15 seconds at 1.5 Torr of oxygen.
  • a first step involving annealing at 1050° C. for 30 seconds at 15 mTorr in the presence of nitrogen gas is followed by a second step at 1050° C. for 15 seconds at 0.5 Torr.
  • a first step involving annealing at 1000° C. for 30 seconds at 0.015 mTorr in the presence of nitrogen gas is followed by a second step at 1100° C. for 5 seconds at 0.05 Torr.
  • the two-step post anneal containing a trace amount of oxygen in the first step exhibits a 4% improvement in NMOS ldsat over a two-step post anneal without a trace amount of oxygen in the first step.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
US11/167,526 2005-06-27 2005-06-27 Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric Active 2026-05-25 US7429538B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/167,526 US7429538B2 (en) 2005-06-27 2005-06-27 Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
CN2006800229813A CN101208782B (zh) 2005-06-27 2006-05-26 用于等离子氮化栅极介电层的氮化后二阶段退火的方法
JP2008518181A JP5072837B2 (ja) 2005-06-27 2006-05-26 プラズマ窒化したゲート誘電体を2段階式で窒化後アニーリングするための改善された製造方法
PCT/US2006/020508 WO2007001709A2 (en) 2005-06-27 2006-05-26 Improved manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
KR1020077031042A KR100993124B1 (ko) 2005-06-27 2006-05-26 플라즈마 질화된 게이트 유전체의 두 단계 포스트 질화어닐링을 위한 개선된 제조 방법
TW095119255A TWI343604B (en) 2005-06-27 2006-05-30 Improved manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/167,526 US7429538B2 (en) 2005-06-27 2005-06-27 Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric

Publications (2)

Publication Number Publication Date
US20060292844A1 US20060292844A1 (en) 2006-12-28
US7429538B2 true US7429538B2 (en) 2008-09-30

Family

ID=37568096

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/167,526 Active 2026-05-25 US7429538B2 (en) 2005-06-27 2005-06-27 Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric

Country Status (6)

Country Link
US (1) US7429538B2 (ko)
JP (1) JP5072837B2 (ko)
KR (1) KR100993124B1 (ko)
CN (1) CN101208782B (ko)
TW (1) TWI343604B (ko)
WO (1) WO2007001709A2 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8759182B2 (en) 2011-05-26 2014-06-24 Samsung Electronics Co., Ltd. Manufacturing method for semiconductor device
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9761687B2 (en) 2015-01-04 2017-09-12 United Microelectronics Corp. Method of forming gate dielectric layer for MOS transistor
US10431466B2 (en) 2016-06-20 2019-10-01 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007281181A (ja) * 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
JP2010021378A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd シリコン酸窒化膜の形成方法および形成装置
CN101685766B (zh) * 2008-09-23 2011-09-07 中芯国际集成电路制造(上海)有限公司 增加热处理反应室利用率的方法
KR101008994B1 (ko) 2009-05-13 2011-01-17 주식회사 하이닉스반도체 듀얼 폴리 게이트의 산화막 형성 방법
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
US8450221B2 (en) * 2010-08-04 2013-05-28 Texas Instruments Incorporated Method of forming MOS transistors including SiON gate dielectric with enhanced nitrogen concentration at its sidewalls
JP2012079785A (ja) * 2010-09-30 2012-04-19 Tokyo Electron Ltd 絶縁膜の改質方法
US20120270411A1 (en) * 2011-04-25 2012-10-25 Nanya Technology Corporation Manufacturing method of gate dielectric layer
CN103887337A (zh) * 2012-12-21 2014-06-25 联华电子股份有限公司 半导体结构及其制作工艺
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP2015142034A (ja) * 2014-01-29 2015-08-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103943475A (zh) * 2014-02-21 2014-07-23 上海华力微电子有限公司 一种提高栅氧化物介电常数的方法
CN103855035A (zh) * 2014-03-27 2014-06-11 上海华力微电子有限公司 一种制备栅介质层的设备
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
TWI679703B (zh) * 2016-04-25 2019-12-11 聯華電子股份有限公司 閘介電層的製造方法
CN109003879B (zh) * 2017-06-06 2021-03-19 中芯国际集成电路制造(上海)有限公司 栅介质层的形成方法
KR102312827B1 (ko) * 2017-08-08 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법들 및 장치
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN116197739B (zh) * 2023-05-05 2023-07-14 松诺盟科技有限公司 氢压力传感器芯体弹性体的表面处理工艺、弹性体及应用

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591494A (en) 1993-09-24 1997-01-07 Applied Materials, Inc. Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition
US5780115A (en) 1996-02-29 1998-07-14 Samsung Electronics Co., Ltd. Methods for fabricating electrode structures including oxygen and nitrogen plasma treatments
US5939131A (en) 1996-07-23 1999-08-17 Samsung Electronics Co., Ltd. Methods for forming capacitors including rapid thermal oxidation
US6268267B1 (en) 2000-01-24 2001-07-31 Taiwan Semiconductor Manufacturing Company Silicon-oxynitride-oxide (SXO) continuity film pad to recessed bird's beak of LOCOS
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US20020197880A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US20020197884A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US20020197882A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US20020197883A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6509604B1 (en) 2000-01-26 2003-01-21 Advanced Micro Devices, Inc. Nitridation barriers for nitridated tunnel oxide for circuitry for flash technology and for LOCOS/STI isolation
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US20030082884A1 (en) 2001-10-26 2003-05-01 International Business Machine Corporation And Kabushiki Kaisha Toshiba Method of forming low-leakage dielectric layer
US20030109146A1 (en) 2001-12-12 2003-06-12 Luigi Colombo Oxynitride device and method using non-stoichiometric silicon oxide
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6599807B2 (en) 2001-08-14 2003-07-29 Samsung Electronics, Co., Ltd Method for manufacturing capacitor of semiconductor device having improved leakage current characteristics
US20040002226A1 (en) 2002-07-01 2004-01-01 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20040038487A1 (en) 2002-06-12 2004-02-26 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US20040242021A1 (en) 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US6831021B2 (en) 2002-06-12 2004-12-14 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20050048705A1 (en) 2003-08-26 2005-03-03 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20050130448A1 (en) 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
US20050181626A1 (en) * 2003-04-30 2005-08-18 Fujitsu Limited Manufacture of semiconductor device having nitridized insulating film

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080090425A9 (en) * 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法
WO2005004224A1 (ja) * 2003-07-01 2005-01-13 Nec Corporation 半導体装置及びその製造方法
JP4261276B2 (ja) * 2003-08-15 2009-04-30 パナソニック株式会社 半導体装置の製造方法
TW200620471A (en) * 2004-08-31 2006-06-16 Tokyo Electron Ltd Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
JP4965849B2 (ja) * 2004-11-04 2012-07-04 東京エレクトロン株式会社 絶縁膜形成方法およびコンピュータ記録媒体
KR101005953B1 (ko) * 2004-11-04 2011-01-05 도쿄엘렉트론가부시키가이샤 절연막 형성 방법

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591494A (en) 1993-09-24 1997-01-07 Applied Materials, Inc. Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition
US5780115A (en) 1996-02-29 1998-07-14 Samsung Electronics Co., Ltd. Methods for fabricating electrode structures including oxygen and nitrogen plasma treatments
US5939131A (en) 1996-07-23 1999-08-17 Samsung Electronics Co., Ltd. Methods for forming capacitors including rapid thermal oxidation
US6268267B1 (en) 2000-01-24 2001-07-31 Taiwan Semiconductor Manufacturing Company Silicon-oxynitride-oxide (SXO) continuity film pad to recessed bird's beak of LOCOS
US6509604B1 (en) 2000-01-26 2003-01-21 Advanced Micro Devices, Inc. Nitridation barriers for nitridated tunnel oxide for circuitry for flash technology and for LOCOS/STI isolation
US6605511B2 (en) 2000-01-26 2003-08-12 Advanced Micro Devices, Inc. Method of forming nitridated tunnel oxide barriers for flash memory technology circuitry and STI and LOCOS isolation
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6365518B1 (en) * 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US20020197880A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US20020197884A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US20020197882A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US20020197883A1 (en) 2001-06-20 2002-12-26 Hiroaki Niimi Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6548366B2 (en) * 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6610614B2 (en) 2001-06-20 2003-08-26 Texas Instruments Incorporated Method for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6599807B2 (en) 2001-08-14 2003-07-29 Samsung Electronics, Co., Ltd Method for manufacturing capacitor of semiconductor device having improved leakage current characteristics
US20030082884A1 (en) 2001-10-26 2003-05-01 International Business Machine Corporation And Kabushiki Kaisha Toshiba Method of forming low-leakage dielectric layer
US20030109146A1 (en) 2001-12-12 2003-06-12 Luigi Colombo Oxynitride device and method using non-stoichiometric silicon oxide
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20040038487A1 (en) 2002-06-12 2004-02-26 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US6831021B2 (en) 2002-06-12 2004-12-14 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20040002226A1 (en) 2002-07-01 2004-01-01 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20050181626A1 (en) * 2003-04-30 2005-08-18 Fujitsu Limited Manufacture of semiconductor device having nitridized insulating film
US20040242021A1 (en) 2003-05-28 2004-12-02 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20050048705A1 (en) 2003-08-26 2005-03-03 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20050130448A1 (en) 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
D. Ishikawa, et al. "Nitride-Sandwiched-Oxide Gate Insulator For Low Power CMOS", IEDM, 0-7803-7463-X.02, p. 869-872, IEEE.
PCT International Search Report and Written Opinion dated Sep. 26, 2007 for PCT International Application No. PCT/US2006/20508. (APPM/009715PCT).

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8759182B2 (en) 2011-05-26 2014-06-24 Samsung Electronics Co., Ltd. Manufacturing method for semiconductor device
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9761687B2 (en) 2015-01-04 2017-09-12 United Microelectronics Corp. Method of forming gate dielectric layer for MOS transistor
US10431466B2 (en) 2016-06-20 2019-10-01 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Also Published As

Publication number Publication date
TWI343604B (en) 2011-06-11
TW200703514A (en) 2007-01-16
WO2007001709A2 (en) 2007-01-04
WO2007001709A3 (en) 2007-11-29
CN101208782A (zh) 2008-06-25
JP2008547220A (ja) 2008-12-25
US20060292844A1 (en) 2006-12-28
KR100993124B1 (ko) 2010-11-08
JP5072837B2 (ja) 2012-11-14
KR20080047322A (ko) 2008-05-28
CN101208782B (zh) 2010-05-19

Similar Documents

Publication Publication Date Title
US7429538B2 (en) Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US20070169696A1 (en) Two-step post nitridation annealing for lower eot plasma nitrided gate dielectrics
US7429540B2 (en) Silicon oxynitride gate dielectric formation using multiple annealing steps
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
US7569502B2 (en) Method of forming a silicon oxynitride layer
JP4895803B2 (ja) 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
US9337046B1 (en) System and method for mitigating oxide growth in a gate dielectric
US7446052B2 (en) Method for forming insulation film
KR100645306B1 (ko) 기판 처리 방법
US6225169B1 (en) High density plasma nitridation as diffusion barrier and interface defect densities reduction for gate dielectric
US20070010103A1 (en) Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
KR100380275B1 (ko) 반도체 소자의 게이트 절연막 형성방법
JP2004265973A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OLSEN, CHRISTOPHER S.;REEL/FRAME:016733/0165

Effective date: 20050622

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12