TWI804836B - 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體 - Google Patents

用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體 Download PDF

Info

Publication number
TWI804836B
TWI804836B TW110111617A TW110111617A TWI804836B TW I804836 B TWI804836 B TW I804836B TW 110111617 A TW110111617 A TW 110111617A TW 110111617 A TW110111617 A TW 110111617A TW I804836 B TWI804836 B TW I804836B
Authority
TW
Taiwan
Prior art keywords
voltage
plasma
processing step
during
sheath
Prior art date
Application number
TW110111617A
Other languages
English (en)
Other versions
TW202135128A (zh
Inventor
凱文 菲貝爾
丹尼 蕭
丹尼爾 卡特
Original Assignee
新加坡商Aes 全球公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新加坡商Aes 全球公司 filed Critical 新加坡商Aes 全球公司
Publication of TW202135128A publication Critical patent/TW202135128A/zh
Application granted granted Critical
Publication of TWI804836B publication Critical patent/TWI804836B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本發明揭示用於電漿處理之系統及方法。一種方法包括在一第一處理步驟期間將功率施加至一電漿處理腔室,及在該第一處理步驟期間在一基板與一電漿之間產生一第一電漿鞘層電壓。在一第二處理步驟(在該第一處理步驟之後)期間,將功率施加至該電漿處理腔室中且將一不同的電漿鞘層電壓施加於該基板與該電漿之間。

Description

用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
本揭示內容大體上關於電漿處理。詳言之,但並非限制,本揭示內容關於用於使至少一個電漿功率源與至少一個偏壓供應器之脈衝同步之系統、方法及設備。
本專利申請案主張2017年11月17日提交的標題為「電漿處理源與基板偏壓之同步化脈衝(Synchronized Pulsing of Plasma Processing Source and Substrate Bias)」之美國臨時申請案第62/588,187號之優先權,且該臨時申請案指派給受讓人且特此明確地以引用之方式併入本文中。
在電漿處理系統中,將基板(例如,半導體晶圓)置於RF偏壓基板固持器上,諸如靜電夾盤(electrostatic chuck,ESC)或具備機械晶圓夾具之夾盤,其中將基板曝露於來自電漿之離子。先前的方式嘗試藉由改變RF偏壓功率位準而控制入射於基板上之離子(來自電漿)之能量,同時通常藉由控制獨立電漿源而控制離子密度。例如,電漿源可為電感耦合電漿(inductively coupled plasma,ICP)源、具有在另一RF頻率下經偏壓之次級頂部電極之雙電容耦合電漿(capacitively-coupled plasma,CCP)源、螺旋波電漿源、微波電漿源、磁控管或具有電漿能量之某一其他獨立操作源。
本發明之一態樣為一種用於電漿處理之方法。該方法包括在第一處理步驟期間將功率施加至電漿處理腔室,及在該第一處理步驟期間將不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生第一電漿鞘層電壓(sheath voltage)。該方法亦包括在第二處理步驟期間將功率施加於電漿處理腔室中,該第二處理步驟在該第一處理步驟之後,及在該第二處理步驟期間將不同的不對稱週期性電壓波形施加至基板支撐件以在該基板與該電漿之間產生不同的電漿鞘層電壓。
另一態樣為一種電漿處理系統。該系統包括電漿處理腔室及激發源,該激發源經組態以將功率施加於電漿處理腔室中以在電漿處理腔室中產生電漿。偏壓供應器經組態以將不對稱週期性電壓波形施加至基板支撐件且修改該電壓波形以修改該電漿處理腔室內電漿與基板之間的鞘層電壓,且至少一個控制器經組態以在複數個處理步驟中之每一者期間使激發源與偏壓供應器之操作同步。
另一態樣為一種包含所儲存指令之非暫時性電腦可讀取媒體,該等指令用於供處理器執行或用於組態現場可程式閘陣列,以執行電漿處理。該等指令包括在第一處理步驟期間將功率施加至電漿處理腔室,及在該第一處理步驟期間將不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生第一電漿鞘層電壓的指令。另外,該等指令包括在第二處理步驟期間將功率施加於電漿處理腔室中,且亦在該第二處理步驟期間將不同的不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生不同的電漿鞘層電壓的指令。
字組「例示性」在本文中用以意謂「充當實例、例項或說明」。本文中被描述為「例示性」之任何實施例未必被理解為比其他實施例更佳或更有利。
如前所述:以下圖中之流程圖及方塊圖說明根據本發明之各種實施例的系統、方法及電腦程式產品之可能實施的架構、功能性及操作。就此而言,此等流程圖或方塊圖中之一些區塊可表示包含用於實施指定邏輯功能之一或多個可執行指令之程式碼之模組、區段或部分。亦應注意,在一些替代實施中,區塊中所闡述之功能可不以諸圖中所闡述之次序發生。舉例而言,視所涉及的功能性而定,以連續方式展示的兩個區塊實際上可實質上同時執行,或該等區塊有時可以相反次序執行。亦將注意,方塊圖及/或流程圖說明中之每一區塊及方塊圖及/或流程圖說明中之區塊之組合可由執行指定功能或動作的基於硬體之專用系統或專用硬體與電腦指令之組合來實施。
儘管以下揭示內容一般係指晶圓電漿處理,但實施可包括電漿腔室內之任何基板處理。在一些情況下,可使用本文中所揭示之系統、方法及設備來處理除基板之外的物件。換言之,本揭示內容適用於次大氣壓電漿處理腔室內之任何物件之電漿處理,以藉由物理或化學手段實現表面改變、表面下改變、沈積或移除。
本揭示內容可利用如以下偏壓供應相關專利中所揭示之電漿處理及基板偏壓技術:US9287092、US9287086、US9435029、US9309594、US9767988、US9362089、US9105447、US9685297、US9210790。此等專利之全部內容以引用之方式併入本文中。
出於本揭示內容之目的,「激發源」、「源產生器」、「RF產生器」或「RF源」為能量主要用於產生並保持電漿的部件,而「偏壓供應器」為能量主要用於產生表面電位以用於從電漿吸引離子及電子的部件。
圖1A至1E說明用於電漿處理腔室中電漿之射頻(RF)激發之激發與正弦偏壓組合之各種版本。所列之不同RF激發頻率範圍為低頻(LF < 3 MHz)、中頻(MF > 3 MHz至< 30 Mhz)及極高頻(VHF > 30 MHz)。儘管圖1A至1E中指示例示性頻率範圍,但熟習此項技術者將瞭解,亦可實施其他頻率範圍及頻率範圍之組合。
圖1A說明一種系統,其中單個高頻RF激發源20 (例如,RF產生器或RF產生器及匹配)連接至上面置有基板(例如,晶圓)之電極25且經處理。電漿處理腔室10的本體之剩餘部分處於接地電位。RF激發源20與電漿15之間的電容器,為離散組件或由電極25之構造形成之存在使得形成高於晶圓的電漿鞘層中之DC電壓偏壓。如本文中所使用,鞘層電壓意謂電漿處理腔室中之基板(在本文中亦被稱作工件)之表面與電漿15之間的電位差。
圖1B類似於圖1A,但添加了第二RF激發源,其相較於圖1A之源20可為較高頻率。舉例而言,此第二RF激發源可為VHF源。第二源可連接至第二電極35,其可與第一電極25相對。第一源與第二源兩者促成鞘層電壓,且因此,促成到達基板之離子能量,但第二源主要用於電漿之形成及維持。在一些(但並非所有)情況下,此兩個源之系統可獲得相較於圖1A之單個源之系統較高的電漿離子密度。較高電漿離子密度導致較快處理,這減小製造工業中之成本。
圖1C說明圖1B中所描繪之設計之替代方案,其中藉由電感式源替代第二源(電容式源)。舉例而言,第二源可包括由RF源驅動之導電線圈40。可相較於圖1B之電容式源在較低頻率下驅動此電感式源。舉例而言,可使用高頻驅動電壓。此源將能量電感耦合至電漿中以產生且維持電漿。同樣,兩個源促成鞘層電壓,且因此,促成離子能量,但電感式源主要用於產生及維持電漿。
圖1D及1E說明使用三個RF激發源之電漿處理腔室。如所示,一個源可經由腔室之頂部(但其他位置亦有可能)處所示之第一電極耦接至電漿,而其他兩個RF激發源連接至支撐電極之基板。源之此組合實現控制基板偏壓輪廓之額外靈活性。舉例而言,耦接至基板電極之源中之一者可提供經脈衝電壓波形,而另一源提供DC電流。
圖2展示圖1D及1E中所示之包括三個RF激發源之電漿處理腔室之一個實施。如所示,源中之每一者可包括計量總成100。源及計量總成100可分別經由匹配及計量總成80及70各自連接至電極總成35及60。電極35及60可藉由絕緣體37及67與電漿處理腔室10的本體隔離。工件50置於下部電極總成60上。高電壓電源供應器90連接至下部電極總成60以例如在利用背側氦氣冷卻時提供工件50之靜電夾持。顯而易見,此為相較於先前之電漿處理腔室更為複雜及昂貴的配置。此遞增成本及複雜度對於此類電漿處理設備之購買者為重要問題。
圖3說明使用第二RF激發源以用於偏壓基板且藉此控制基板偏壓電壓之影響。圖1A至1C中之電漿處理配置產生如由箭頭13指示之離子能量之雙峰值分佈。當添加第二RF激發源以用於偏壓基板時,如由箭頭17所示修改離子能量分佈函數(ion energy distribution function,IEDF)。調整偏壓基板之兩個RF產生器之電流之比率可修改IEDF函數,但保持兩個峰值。
儘管兩個峰值之存在可能難以解決,但在其他用例中,兩個離子能量峰值可為有益的。舉例而言,在深孔,諸如半導體晶圓製造中之接觸件之蝕刻期間,需要各向異性蝕刻結果,其中孔深度加深而無孔之橫截面面積之對應增長(例如,可能需要相對於側壁蝕刻速率使蝕刻孔底部之速率最大化)。此可藉由利用使聚合物沈積於孔之側壁上,因此保護側壁免於蝕刻之電漿蝕刻化學反應而實現,同時豎直蝕刻繼續使孔加深(例如,藉由氧化電漿化學反應之組件)。
在圖3中,兩個峰值之較高能量對應於大體上輔助豎直方向上之材料之蝕刻之離子,而兩個峰值之較低能量對應於大體上輔助側壁聚合物沈積之離子。電漿化學反應之蝕刻及聚合組件之平衡為一複雜任務,其可涉及RF激發源之功率及頻率、饋送至電漿中之氣體之數量及比率、操作壓力、晶圓溫度、諸如抗蝕劑類型及相關聯硬式光罩等圖案化選擇,及其他因素之最佳化。因此,此項技術中需要控制圖3中之峰值之離子能量以及此等峰值相對於彼此之振幅之改良方法。
近年來,進階電漿處理系統出於若干原因已變為使用經脈衝電漿。在一種情況下,為了減小賦予至晶圓之平均能量。例如,為了獲得所要蝕刻速率或經蝕刻特徵之深度,可使用高功率,諸如十千瓦或更高千瓦之偏壓功率。令人遺憾地,此類高功率之連續施加可能損害晶圓或過程硬體,因此脈衝用於減小藉由減小工作週期遞送之平均功率。在另一情況下,脈衝用於控制電漿中之電子溫度。
圖4展示效應之實例,其中在關閉電漿之後,電漿中之電子能量/溫度之衰變速率比離子衰變速率快得多。藉由脈衝電漿,可減小電子溫度,同時維持合理的離子密度。電漿中之電子溫度判定電漿內之化學解離之水平;因此,脈衝可用於改變電漿內之化學物質組成。
圖5A展示電漿之光學發射光譜且說明以下狀況:諸如圖1C或1E中所示之電感源與諸如C2F6或C4F6之碳-氟化物、氬氣及氧氣一起使用且經充分供電以形成較高程度之解離及釋放過量氟離子,因此引起在剛剛超過500 nm波長處之C2峰值。此電漿化學反應將具有高蝕刻速率,但具有較差各向異性蝕刻輪廓,歸因於蝕刻化學反應與聚合化學反應之非理想平衡。
圖5B展示以下情形:與圖5A中使用相同化學反應及RF功率狀態,但RF功率經脈衝,在此狀況下大致處於1 kHz及50%工作週期。此輪廓不展示C2峰值及低於400 nm波長之較大量之結合氟,此為用於各向異性蝕刻之平衡電漿化學反應之特性。
許多偏壓供應器與對稱(例如,正弦)輸出(例如,其中波形之第一半循環在波形之最後一半循環中具有對應對稱分量)一起操作。將正弦波形施加至基板會誘發離子能量之寬廣分佈,此限制了電漿程序進行所要蝕刻輪廓之能力。
圖6A說明RF源(例如,施加對稱波形)之更換以用於藉由「偏壓供應器」200在電漿鞘層中形成偏壓電壓。偏壓供應器200可藉由本文中先前所列之偏壓供應相關專利中所揭示之偏壓供應器而實現,且根據該等偏壓供應器而操作。另外,本揭示內容包括本文中進一步參看圖19-24之例示性偏壓供應器之細節。
在圖6B中,偏壓供應器200用於實現單個能量峰值,而圖6C說明偏壓供應器200可經程式化成亦產生雙能量峰值結果。離子能量分佈函數之實際形狀為可調的。此為極有利的且大大簡化用於實現所要程序結果之電漿程序配方之研發。同時,可控制偏壓供應器偏壓輪廓以確保維持用於將晶圓固持在適當位置所需之靜電電壓。換言之,偏壓供應器200可控制鞘層電壓及離子密度,控制偏壓之脈衝,且亦維持晶圓之靜電夾持。
接下來參看圖7A及7B,分別展示例示性鞘層電壓及用以獲得鞘層電壓之週期性電壓波形之描繪。更特定言之,圖7A為可經產生以產生類似於圖6B中所描繪之分佈之離子能量分佈之鞘層電壓之一般描繪。如在本領域具有通常知識者應瞭解,耦接至偏壓供應器200之電極可電容耦合於電漿中,且電漿鞘層之非線性本質引起工件表面與電漿之間的電位差。跨越電漿鞘層之此壓降判定朝向基板加速之電漿離子之平均能量,且因此,鞘層電壓影響藉由離子執行之蝕刻。
如圖7A中所示,除鞘層電壓之週期性升高(例如在時間t2期間所描繪)之外,鞘層電壓實質上恆定(例如在時間t1期間所描繪)以產生離子能量之實質上單能分佈。在鞘層電壓之每一週期性升高期間,基板電位達至電漿電位且鞘層短暫塌縮,但t1可在循環(t1+t2)之65%與95%之間,且在例示性實施中,t1為循環之80%。且鞘層電壓可隨400 kHz之頻率變化;因此,t1+t2 (描繪為週期T)可為2.5微秒(但確定地預期鞘層電壓可在不同頻率下發生改變)。在t1期間之實質上恆定鞘層電壓判定離子能量分佈函數。
在本領域具有通常知識者亦瞭解,圖7A中所描繪之鞘層電壓之週期性升高為既定的,由於不變DC電壓由於衝擊於工件表面上之離子電流增大基板表面上之正電荷之量而不可僅施加至基板支撐件。
因此,如圖7B中所示,將週期性電壓波形施加至基板電極,使得所施加電壓在夾盤電容、基板電容,及鞘層電容之間進行劃分。此方法實現補償離子電流,從而使得鞘層電壓及基板電壓在每一偏壓循環之高比例(例如,75%與90%之間)期間保持恆定。如所示,週期性電壓波形不對稱,使得電壓波形之第一半循環在電壓波形之最後一半循環期間並不具有對應對稱分量。如所示,週期性電壓波形包括用以移除累積在基板上之額外電荷之正跳變(在時間t2期間);用以建立鞘層電壓之負壓擺動(在t2結束時);以及用以補償離子電流之負壓斜坡(以在時間t1期間保持鞘層電壓恆定)。儘管不需要,但週期性電壓波形可為400 kHz,且若如此,則t1可在1.9微秒與2.25微秒之間且t2可分別在6微秒與25微秒之間。
接下來參看圖8A及8B,展示例示性(變化)鞘層電壓及對應不對稱週期性電壓波形以獲得變化之鞘層電壓。圖8A中所描繪之鞘層電壓可用於產生類似於圖6C中所描繪之離子能量分佈函數。更特定言之,圖8A中所描繪之鞘層電壓在較高電壓(VS1)與較低鞘層電壓(VS2)之間交替,這引起兩個峰值之離子能量分佈函數。儘管圖8A描繪針對每個低鞘層電壓之一個高鞘層電壓(1:1的比率),以獲得圖6C中所示之較低能量之較低機率,但VS1下之高能量鞘層電壓脈衝之數目可相對於VS2下之較低電壓脈衝之數目而增大。
參看圖9A及9B,展示與補償不足離子電流相關聯之鞘層電壓、離子通量,及週期性不對稱電壓波形(由偏壓供應器輸出)之態樣。如圖9A中所示,當離子電流補償不足時,鞘層電壓以類斜坡方式變為較不負的負值,這產生離子能量之較寬散佈972。在圖9B中展示可施加至基板支撐件以實行圖9A中所描繪之鞘層電壓之週期性電壓。如所示,週期性電壓波形之負值類斜坡部分相較於補償離子電流使得離子電流等於提供至基板支撐件之補償電流的週期電壓波形之類斜坡部分以較低斜率下降(展示為虛線)。
圖10A及10B描繪與補償過度離子電流相關聯之鞘層電壓、離子通量,及週期性不對稱電壓波形(由偏壓供應器輸出)之態樣。如圖10A中所示,當離子電流補償過度時,鞘層電壓以類斜坡方式負值變得愈大,這亦產生離子能量之較寬散佈1074。在圖10B中展示可施加至基板支撐件以實行圖10A中所描繪之鞘層電壓之週期性電壓。如所示,週期性電壓函數之負值類斜坡部分相較於補償離子電流之週期電壓波形之類斜坡部分以較大速率下降(展示為點線)。
參看圖11,展示電漿處理系統,其相較於前述實踐明顯簡化電漿處理。電漿處理腔室10展示為具有藉由RF激發(例如,VHF)形成之電漿15,同時施加至工件50之偏壓由偏壓供應器210提供,該電漿腔室可包括或可不包括計量總成。在此實施例中,可距電漿處理腔室10一定距離定位之單個DC電源供應器單元220可分別藉由纜線245及240連接至偏壓供應器210及RF激發源230 (其可或可不包括VHF RF/計量/匹配總成)。功率控制及資料信號可藉由通信纜線250遞送及接收。電激發與偏壓之間的極高速同步由纜線260提供。所說明之電容耦合VHF RF激發源可在RF激發源由偏壓供應器210替代之情況下被如圖1E中所示之電感源取代。替代地,可使用微波隙縫或類似電漿激發源,以及各種其他激發源。儘管本文中在多個實例中提及「RF」激發,但應認識到,可在一些實施例中利用相對低頻率以激發及維持電漿。
圖12為描繪例示性控制器1216之功能組件之方塊圖。如所示,控制器1216可包括使用者介面組件1250、同步模組1252,及功率控制模組1254。控制器1216之所描繪組件可居中定位及實施於工具控制器中,該工具控制器經安置且經組態以控制偏壓供應器210及激發源兩者。替代地,控制器1216之組件可為重複的且實施於偏壓供應器210及激發源中之每一者中。此外,控制器1216之組件可經分佈使得更多組件(例如,使用者介面1250及功率控制模組1254)中之一者居中定位在工具控制器中且緊密結合偏壓供應器210及激發源實施該等組件中之一或多者。
圖13為描繪可結合本文中所揭示之實施例來施行的方法之流程圖。如所示,RF激發源230用於在第一處理步驟期間將功率施加至電漿處理腔室10(區塊1300),且偏壓供應器210用於在第一處理步驟期間將不對稱週期性電壓波形施加至基板支撐件以在基板50與電漿15之間產生所要之鞘層電壓(區塊1302)。因此,使功率至RF激發源230及偏壓供應器210之施加同步。另外,在第一處理步驟之後的第二處理步驟期間使RF激發源230與偏壓供應器210同步。更特定言之,在第二處理步驟1304期間將激發功率(例如,RF功率)施加至電漿處理腔室10 (區塊1304),且在第二處理步驟期間(藉由偏壓供應器210)將不同的不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生第二電漿鞘層電壓(區塊1306)。應認識到,圖13中所描繪之兩個步驟僅僅為可經同步之一系列多個處理步驟中之例示性任何兩個步驟。
接下來參看圖14至17,展示例示性源極電壓(其可藉由RF激發源230施加)及可由偏壓供應器210產生之例示性鞘層電壓(亦被稱作偏壓)。更特定言之,在圖14至17中之每一者中展示可在第一處理步驟及第二處理步驟期間產生之激發源電壓及鞘層電壓。應認識到,激發源與圖14至17中之鞘層電壓之所描繪組合僅為例示性的且圖14至17中所描繪之源極電壓中之任一者可在第一步驟或第二步驟中之任何一或多者期間與圖14至17中所描繪之鞘層電壓中之任一者同步施加。亦應認識到,兩個處理步驟通常描繪在固定或不斷變化之工作週期情況下可隨時間推移進行重複之兩個或多於兩個處理步驟。相較於將對稱電壓(例如,正弦)電壓施加至基板以修改離子能量之先前的途徑(其引起不可控的時變鞘層電壓),施加週期性不對稱電壓功能(輸出,例如來自如圖7B、8B、9B及10B中所示之偏壓供應器210)實現恆定鞘層電壓及/或以預定所要方式改變之非恆定鞘層電壓。
應認識到,在第一步驟、第二步驟期間或在第一步驟及第二步驟兩者期間藉由偏壓供應器輸出之週期性電壓波形可隨時間推移經修改以獲得所要鞘層電壓,且因此,獲得離子能量之所要分佈。例如,在處理步驟中之一者或兩者期間,特定振幅下之鞘層電壓脈衝之相對數目將判定對應於特定振幅之離子能量下之相對離子分數。上文參看圖8A及6C描述此情況,其中存在產生兩個離子能量峰值之不同振幅鞘層電壓脈衝之1:1的比率。此外,晶圓電壓(亦被稱作鞘層電壓)可在不同振幅下經調變以形成在不同振幅下具有多於一個能量峰值之離子能量分佈函數,如參看圖9A至圖9C之US 9,287,086(其詳述了相對於較低振幅鞘層脈衝之數目施加在較高振幅下之較高數目之鞘層脈衝以產生兩個能量峰值處之所要離子分數之程序)所描述。US 9,287,086以引用之方式併入本文中。
作為另一實例(本文中進一步參看圖14至17所描述),週期性電壓函數之負壓斜坡(藉由偏壓供應器210輸出)可在第一與第二處理步驟中之每一者之間(及/或內)進行修改(如參看圖9A至圖10B所描述)以不足補償、補償或過度補償衝擊在基板之表面上之離子電流。如圖9A及10A中所描繪,若偏壓供應器對離子電流補償不足或補償過度,則鞘層電壓將具有引起離子能量值之相對寬分佈之值之一範圍。在圖14至17中,電位鞘層電壓之範圍在第一步驟及第二步驟中分別經描繪為鞘層電壓範圍1420及1422。並不需要賦予鞘層電壓之一範圍,但在一些處理步驟中需要在安置於主要離子能量周圍之離子能量之一範圍下使離子衝擊於基板上;因此,在一些實施中,離子電流如圖9A及10A中所示補償過度(或補償不足)。實現多個鞘層電壓(如在範圍1420及1422內所示)之另一途徑為調變施加至基板支撐件之週期性電壓波形之負壓擺動,如例如圖8B中所示。此外,可藉由脈衝RF激發源而修改任何離子能量下之離子通量以減小電漿密度,且因此,減小離子通量。
參看圖14,展示可藉由RF激發源230施加之源極電壓(展示在圖14之頂部)及可藉由偏壓供應器210實行之鞘層電壓(展示在圖14之底部)之時序圖表,其中使用RF激發源230之脈衝以及對峰值-峰值鞘層電壓之改變。應認識到,圖14(及圖15至17)中之時標不同於圖7A至8B之時標。例如,圖7A及8A之鞘層電壓(及從偏壓供應器輸出之圖7B及8B之對應電壓)可以2.5微秒之循環時間進行重複。且相比之下,第一與第二步驟(及TS1 、TB1 及TB2 )可為1毫秒或更多毫秒(例如,在1毫秒與20毫秒之間);因此,圖14中之鞘層電壓在第一步驟及第二步驟中之每一者期間(及在TS1 、TB1 及TB2 期間)可循環400次或更多次(例如,250次與8,000次之間)。但為了清楚起見,圖14至17之鞘層電壓中並未展示不斷變化之鞘層波形之細節(圖7A及8A中所示)。替代地,鞘層電壓(VB1 及VB2 )在圖14至17中描繪為在時間之65%與95%(例如,時間之80%)之間存在的鞘層電壓-而無鞘層電壓之週期性升高(在圖7A及8A中之時間t2期間所描繪)。
在圖14中所描繪之實例操作模式中,展示鞘層電壓輪廓在兩個峰值電壓振幅:週期TB1 之電壓振幅VB1 與週期TB2 之電壓振幅VB2 之間步進,兩個週期之間具有小中介時間TB3 。鞘層電壓在所描繪中介時間TB3 期間之量值降低為可在第一與第二處理步驟之間的轉變期間出現的視情況存在之降低。舉例而言,若在處理步驟1與2之間氣體(其提供至電漿處理腔室10)發生改變,則可能需要減小或移除藉由偏壓供應器施加之功率,但此並非必需的且所描繪時間TB3 之長度可為零秒,使得鞘層電壓直接從VB1 變為VB2 。應認識到,第一步驟及第二步驟之時間經描繪為大致相同,但這當然並非必需的,且在一些情況下,使一個處理步驟實質上短於另一處理步驟為有益的。
如圖14中所描繪之實例中所示,源極電壓在第二步驟期間與VB2 循環同步地經脈衝且在第一步驟之VB1 循環期間為連續的。在VB2 循環期間,電漿化學反應將經離子化為藉由電漿處理腔室10之操作條件與包括離子、自由基及中性原子或分子之對應電漿化學反應判定之水平。VB2 循環相較於在VB1 循環期間發現較低鞘層電壓(在基板與電漿之間),且因此,VB2 循環可對應於相較於VB1 循環發現離子與電子之較大比率的化學反應(回顧圖4,其中離子密度及電子溫度在偏壓斷開或降低時將以不同速率衰變)。源極脈衝具有接通週期TS2 及斷開週期TS3 。在第二步驟期間,電漿之電子溫度較低,這引起電漿內之化學反應之分裂較少。
圖14中所描繪之源極電壓及偏壓之實用實例包括在VB1 循環期間使用碳氟化合物CxFy、Ar及O2 ,且電漿化學反應富含更多氟。當與較高鞘層電壓(VB1 )組合時,在第一步驟期間產生較快蝕刻速率。當蝕刻時,鞘層電壓VB1 可視可執行之蝕刻類型而變化。一般而言,鞘層電壓VB1 之量值大於1000 V(例如,1500 V),此對於一些導體蝕刻應用可為典型的,同時一些導體蝕刻亦可需要較高或較低的值。對於一些電介質蝕刻應用,VB1 可為6000 V或更高。但預期鞘層電壓VB1 可為20,000 V或更高。諸如用於類金剛石碳(DLC)及類似膜之程序的沈積程序通常需要小於1000伏之鞘層電壓,例如在200伏與500伏之間。其他DLC程序及用於其他膜類型之程序可需要高於或低於此等值之鞘層電壓。
在第二步驟之VB2 循環中,在CxFy、Ar及O2 實例中,化學反應更為聚合,且可富含CzF2 ,且與較低鞘層電壓VB2 組合,產生更多側壁聚合物沈積。在聚合期間,鞘層電壓VB2 可為1000 V或更低(例如,在500 V與1000 V之間),且在一些應用中,VB2 可為500 V或更低(例如,在100 V與500 V之間),且在又其他應用中,VB2 可在15 V與100 V之間(例如,20 V)。如所示,此等循環交替。相位時序及鞘層電壓之選擇可基於以免使基板或程序硬體過熱(由於高偏壓)所需的工作週期之間的平衡及最佳蝕刻速率及經蝕刻特徵輪廓。對施加至晶圓之偏壓功率之大致50%工作週期的時序及偏壓之實例對於TB3 及第一與第二步驟可為10毫秒;TB3 可小於5微秒;VB1 可處於1500 V;VB2 可處於20 V;以及TS2 及TS3 各自在1毫秒下可大致相等。不同化學反應及程序結果可發現TB1 與TB2 之比率分別在5:95至95:5之範圍內變化。儘管所列舉之CxFy、Ar及O2 實例用於各向異性蝕刻,但技術可應用於其他蝕刻輪廓及化學系統,且亦用於沈積及表面處理,其中希望具有鞘層電壓之高度解離電漿化學反應及具有較低鞘層電壓之較不高度解離電漿化學反應之週期性處理步驟。
換言之,VB1 及VB2 之循環展示鞘層電壓之改變,但不存在「斷開」時間範圍,而是偏壓VB2 小於VB1 但非零之時間範圍。因此,改變處理步驟並不需要鞘層電壓之完全斷電-鞘層電壓之任何降低將影響電漿化學反應。另外,儘管鞘層電壓在處理步驟之間發生改變,但在一些實施例中,可在RF激發經脈衝之前進行兩個或多於兩個連續的處理步驟。另一「旋鈕」包括調整在給定鞘層電壓下之連續脈衝之數目對比在另一鞘層電壓下之連續脈衝之數目。替代地,可使用其他脈衝圖案,但其中藉由控制脈衝駐留在VB1 下對比駐留在VB2 下之時間之平均量而控制化學反應。同時,所有此等變化可與源之脈衝同步。舉例而言,每當鞘層電壓處於VB2 時RF激發源可經脈衝,且每當偏壓脈衝處於VB1 時RF激發源可為連續的。
已經描述,脈衝源產生器(例如,RF產生器)以產生更為聚合的電漿化學反應,從而有助於對晶圓上經蝕刻之接觸件及溝槽之側壁之保護可為有利的。利用偏壓供應器210之側壁聚合之進一步增強為程式化偏壓供應器210以在聚合模式下(例如,在圖14之第二步驟期間)產生兩個鞘層電壓峰值,從而產生如圖6C中所說明之離子能量分佈中之兩個峰值。如參看圖8A及8B所論述,為了產生離子能量分佈中之兩個峰值(及鞘層電壓中之對應峰值),週期性電壓函數(藉由偏壓供應器施加)之負壓擺動之量值可在兩個位準之間交替(如圖8B中所示)。舉例而言,若在第二步驟期間存在週期性電壓函數(藉由偏壓供應器210輸出)之1000個循環,則500個循環可具有較小負壓擺動且500個其他循環可具有較大負壓擺動。所得之具有不同能量之離子將具有較高機率彼此碰撞並散射至諸如接觸孔或溝槽之經蝕刻特徵之側壁上。
亦預期有益於使兩個峰值中之一者(或兩者)具有特定離子能量範圍(相較於單能峰值)。如上文所論述,此可藉由不足補償或過度補償對應於所實行離子能量之週期性電壓波形之循環之離子電流(藉由改變負壓斜坡之斜率)而實現。
圖15說明引入呈源極電壓形式之另一「旋鈕」之變化。在脈衝期間,RF電壓減小。替代地,每當鞘層電壓處於較低VB2 電壓時,可施加RF電壓。
圖16說明又一變化,其中在較高鞘層電壓期間進行源之脈衝(例如,在VB1 循環期間脈衝RF激發源)。
圖17說明本揭示內容之替代性實施例,其中在VB1 循環及VB2 循環兩者期間脈衝RF激發源,但對於彼等處理步驟中之每一者具有不同負荷。特定言之,在VB1 循環期間在較長工作週期情況下脈衝RF激發源且在VB2 循環期間在較短工作週期情況下脈衝RF激發源。但亦可實施反向對應性。另外,此脈衝圖案可與同步於偏壓脈衝圖案之源極電壓之改變組合。
本揭示內容之實施例可與包括多個偏壓供應器及或多個RF源之系統、方法及設備組合,此等源中之每一者偏壓不同電極。舉例而言,第一偏壓供應器可偏壓基板下方之基板電極,而第二偏壓供應器偏壓圍繞基板電極同心配置但不與基板電極接觸之環形電極。在另一實例中,可使用兩個RF源,其各自將RF功率提供至配置於腔室頂部(或腔室中與基板相對之側)附近之獨立電極。在一些狀況下,可實施兩對或多於兩對偏壓供應器與對應電極,及兩對或多於兩對RF源與對應電極。在此等情境中之任一者中,該一或多個RF源及該一或多個偏壓供應器之脈衝,及電壓在脈衝之間的改變可同步。在一些實施例中,第一偏壓供應器及第一RF源可同步,同時第二偏壓供應器及第二RF源同步。在另一情況下,第一偏壓供應器可與第一與第二RF源同步。在又一情況下,第一RF源可與第一與第二偏壓供應器同步。但此等概念不限於兩個偏壓供應器或RF源。實際上,例如可使用許多源,其中需要對電漿密度之複雜、區域特定控制(例如,出於實現電漿密度均一性之目的)。亦應理解,源之數目無需匹配於電極之數目。舉例而言,四個RF源可驅動三個電極,或兩個RF源可驅動5個電極,以給出兩個非限制性實例。另外,每一源可具有對應的匹配網路,或單一匹配網路可耦接至兩個或多於兩個源且與兩個或多於兩個源阻抗匹配。當兩個或多於兩個電極耦接至一或多個偏壓供應器時,此等電極可對稱(例如,同心環)或不對稱(例如,考慮基板及/或腔室之不對稱性)。
此外,在實施兩個或多於兩個偏壓供應器的情況下,每一偏壓供應器可用以判定局部離子電流(及因此判定離子能量及離子密度)及局部鞘層電容。
離子電流I1 可給定為:
Figure 02_image001
(等式1)
鞘層電容C2 可給定為:
Figure 02_image003
(等式2)
多個偏壓供應器及其用以量測離子電流及因此量測腔室內之不同位置處之離子密度的對應能力可用作對偏壓供應器及/或RF源之回饋。替代地或另外,此回饋可用以控制處理腔室或源之任何電及/或機械特徵。替代地或另外,此回饋可用以控制以下各者中之任何一或多者:處理腔室之磁體(例如用以限制或塑形電漿之磁體,或用以導引經由微波電漿源所產生之離子之磁體);壓力控制(例如壓力閥);質量流量控制;氣體流量控制;氣體化學反應控制;及腔室或其中之組件之實體幾何形狀(例如接地矽蓋帽或蓋之豎直移動)。進一步將瞭解,對各種已知RF源(例如,電容式、電感式、微波式等等)之深度描述在此並不適合,由於此等RF源為此項技術中熟知的。但本文中所描述之回饋及同步適用於任何已知RF源。
在實施兩個或多於兩個偏壓供應器之一些實施例中,藉由此等偏壓供應器偏壓之電極中之一或多者可配置在基板下方或接近於基板配置,由此主要實現基板上之所要偏壓,而藉由一或多個額外偏壓供應器偏壓之一或多個額外電極可遠離基板配置,使其影響區域性電漿密度,但對基板偏壓影響不大(若有的話)。
RF源與偏壓供應器之間的同步可引起電壓降低或在由偏壓供應器輸出之給定脈衝(諸如圖7B及8B中所描繪之該等脈衝)結束時切斷電壓。換言之,可能需要避免結束在偏壓供應器脈衝進程之中之一脈衝。替代地,電壓之脈衝或週期性降低可在偏壓供應器脈衝中之同一點/相位處開始及結束,但用於不同脈衝。換言之,可能需要將該脈衝之長度設定為等於整數數目個偏壓供應器脈衝,無論包絡脈衝與個別偏壓供應器脈衝是否同相地開始或結束。
在一實施例中,配置在基板下方或基板附近以用於偏壓基板的兩個電極可由多個偏壓供應器驅動,但其中兩個或多於兩個偏壓供應器從單個DC供應器接收功率。在一實施例中,一或多個偏壓供應器可用於在腔室中具有參考基板或不具有基板之情況下量測離子密度、鞘層電容或其他腔室參數。可進行一或多個處理回合,且接著可重複進行量測。以此方式,可監測腔室之改變。
先前所描述之實施例提供在其他用例當中用以形成層壓膜之新穎且非顯而易見的系統及方法。諸如類金剛石碳(其在藉由電漿處理沈積時具有極高應力,會導致膜之剝離)之實例現可經處理以併有低應力石墨或非晶碳層,使得整個膜仍然呈現類金剛石碳性質,但處於較低應力。在一些膜中,可能需要在一個週期中使膜沈積,繼之以藉由脈衝控制修改電漿化學反應且施加高偏壓以使膜緻密之週期。本文中所揭示之實施例實現奈米級「布拉格」結構之製造,該等結構包括具有由在如先前所說明之每一各別週期中組合脈衝與偏壓電壓控制而產生之不同光學性質之替代層。換言之,可針對第一處理步驟(例如,在第一時間週期期間)實現第一化學反應以沈積第一層,接著可在第二處理步驟期間(針對第二時間週期)實現第二化學反應以沈積第二層。可重複此操作多次以獲得「布拉格」結構。可藉由改變以下各者中之一或多者而實現不同化學反應:鞘層電壓;兩個或多於兩個偏壓電壓之工作週期;鞘層電壓之時序之更改;TB1 、TB2 及TB3 ;RF源脈衝;源脈衝之工作週期;源極電壓;以及呈組合形式之源極電壓與脈衝。
結合本文中所揭示之實施例所描述之方法可由硬體實施,以在有形的非暫時性處理器可讀取儲存媒體中經編碼之處理器可執行碼實施,或以兩者之組合形式實施。例如,參看圖18,展示描繪根據例示性實施例的可用於(部分地)實現上文所描述的DC電源供應器單元(例如,220)、偏壓供應器(例如,偏壓供應器210)及/或RF源(例如,RF激發源230)的實體組件的方塊圖。如所示,在此實施例中,顯示器部分1812及非揮發性記憶體1820耦接至匯流排1822,該匯流排亦耦接至隨機存取記憶體(「RAM」)1824、處理部分(包括N個處理組件)1826、視情況選用的現場可程式閘陣列(FPGA) 1827,及包括N個收發器之收發器組件1828。儘管圖18中所描繪之組件表示實體組件,但圖18並不意欲為詳細硬體圖;因此,圖18中所描繪之許多組件可藉由常用構造實現或分佈於額外實體組件當中。此外,意欲可利用其他現存及尚待研發之實體組件及架構以實施參看圖18所描述之功能組件。
此顯示器部分1812一般操作以向使用者提供使用者介面,且在數個實施中,藉由觸控式螢幕顯示器來實現該顯示器。一般而言,非揮發性記憶體1820為用以儲存(例如持久儲存)資料及處理器可執行碼(包括與實行本文所描述之方法相關聯的可執行碼)之非暫時性記憶體。例如,在一些實施例中,非揮發性記憶體1820包括開機載入程式碼、作業系統程式碼、檔案系統程式碼,及非暫時性處理器可執行碼以促進同步地脈衝激發源與偏壓供應器之週期性不對稱電壓波形之方法之執行。例如,圖13中所描繪之控制方法(及參考圖14至17所描述之變化)可部分地由儲存於非揮發性記憶體1820中之處理器可執行碼實施。
在許多實施中,非揮發性記憶體1820係藉由快閃記憶體(例如,NAND或ONENAND記憶體)實現,但亦可意欲利用其他記憶體類型。儘管有可能執行來自非揮發性記憶體1820之程式碼,但通常將非揮發性記憶體中之可執行碼載入至RAM 1824中且藉由處理部分1826中之N個處理組件中之一或多者執行。
N個處理組件結合RAM 1824通常用以執行儲存於非揮發性記憶體1820中之該等指令以實現激發源與偏壓供應器之同步脈衝。例如,用以實行同步地脈衝及改變激發源輸出與偏壓供應器輸出之一或多個特性之方法的非暫時性處理器可執行碼可持續地儲存於非揮發性記憶體1820中且藉由N個處理組件結合RAM 1824執行。如所屬技術領域中具有通常知識者應瞭解,處理部分1826可包括視訊處理器、數位信號處理器(DSP)、微控制器、圖形處理單元(GPU),或其他硬體處理組件或硬體與軟體處理組件之組合(例如FPGA或包括數位邏輯處理部分之FPGA)。
另外,或在替代方案中,處理部分1826可經組態以實行本文中所描述之方法之一或多個態樣(例如,同步地脈衝及改變激發之功率及鞘層電壓之態樣的方法)。例如,非暫時性處理器可讀取指令可儲存於非揮發性記憶體1820或RAM 1824中,且在執行於處理部分1826上時致使處理部分1826執行同步地脈衝及改變激發及偏壓供應器之功率(例如,電壓及/或電流)之態樣之方法。替代地,非暫時性FPGA組態指令可持續地儲存於非揮發性記憶體1820中且(例如,在啟動期間)由處理部分1826存取以組態處理部分1826之硬體可組態部分,從而實行本文中所揭示之功能(例如,本文中進一步描述之控制器1216及控制器2460之功能)。
輸入組件1830用以接收信號(例如,纜線260上之同步化信號或通信纜線250上之功率控制及資料信號),其指示激發源與偏壓供應器之間的同步控制之一或多個態樣。例如,在輸入組件處接收到之信號可包括纜線260上之同步化信號、通信纜線250上之功率控制及資料信號,或來自使用者介面之控制信號。輸出組件通常用以提供一或多個類比或數位信號以實行RF源與偏壓供應器之間的同步之操作性態樣。例如,輸出部分1832可提供參考圖11所描述之偏壓供應器210與RF源230之間的同步化信號。
所描繪之收發器組件1828包括N條收發器鏈,其可用於經由無線或有線網路與外部裝置通信。N條收發器鏈中之每一者可表示與特定通信方案(例如,WiFi、乙太網、Profibus等)相關聯的收發器。
接下來參看圖19,展示可用於實現偏壓供應器210之例示性偏壓供應器1902之一般表示。如所示,偏壓供應器1902利用三個電壓V1、V2及V3。儘管為清楚起見而未圖示,但可經由電連接或光連接由開關控制器控制兩個開關S1及S2,以使開關控制器能夠斷開及閉合開關S1、S2,如下文所揭示。所描繪開關S1、S2可藉由單極、單投、通常斷開之開關實現,且作為非限制性實例,開關S1、S2可藉由絕緣閘極雙極電晶體(insulated gate bipolar transistor,IGBT)實現。
在此實施中,電壓V1、V2及V3可為DC源電壓。如所示,第一開關S1經安置成將第一電壓V1可切換地連接至共同節點1970(其對S1及S2係共同的),且第二開關S2經安置成將第二電壓V2可切換地耦接至共同節點1970。另外,第一電感元件L1安置於共同節點與輸出節點Vout之間。
在參看圖19時,同時參考圖20,其描繪:1)偏壓供應器802在Vout處輸出的不對稱電壓波形;2)對應的鞘層電壓;及3)開關S1及S2之對應的開關位置。在操作中,閉合第一開關S1以沿著電壓波形之第一部分2060(在電壓V0與Va之間)將輸出節點Vout處之電壓位準增大為第一電壓位準Va,即沿著波形之第二部分2062維持之電壓位準,且接著斷開第一開關S1。接著閉合第二開關S2以沿著波形之第三部分2064將輸出節點Vout處之電壓波形之位準減小為第二電壓位準Vb,且接著斷開第二開關S2使得S1及S2斷開。如所示,沿著第三部分2064之負壓擺動影響鞘層電壓(Vsheath);因此,可控制Vb之量值以影響緊靠著耦接至Vout之電極平面之鞘層電壓。熟習此項技術者將瞭解,可藉由控制V1而控制Vb,但由於電感器L1之效應,Vb並不等於V1。
在此實施例中,在第一開關S1及第二開關S2斷開時,經由第二電感元件L2將第三電壓V3施加至輸出節點Vout,以沿著電壓波形之第四部分2066使輸出節點處之電壓位準進一步減小。如圖20中所示,可建立沿著第四部分2066之負壓斜坡以藉由補償影響基板之離子來維持鞘層電壓。
因此,S1經由第一電感元件L1將第一電壓V1瞬時連接至輸出Vout且接著斷開連接,且在一時間段之後,S2經由第一電感元件L1將第二電壓(例如接地)連接至輸出Vout且接著斷開連接。第三電壓V3經由第二電感元件L2耦接至輸出Vout。在此實施中,第一電壓V1可高於第三電壓V3,且第一電壓V1至輸出Vout之瞬時連接及斷開連接造成輸出Vout之電壓沿著電壓波形之第一部分2060增大至第一電壓位準Va,且沿著波形之第二部分2062保持第一電壓位準Va。第一電壓位準Va可高於第一電壓V1,且第二電壓V2(例如接地)可小於第一電壓位準Va。第二電壓V2之瞬時連接及接著斷開連接會造成輸出之電壓在第三部分864處減小至低於第二電壓V2(例如接地)的第二電壓位準Vb。
作為實例,如圖21中所展示,V1可為-2000 VDC;V2可為接地;V3可為-5000 VDC;V0可為-7000 VDC;Vb可為-3000 VDC;且Va可為3000 VDC。但此等電壓僅僅係例示性的以提供參看圖19及圖20所描述之電壓之相對量值及極性的內容背景。
接下來參看圖22A至22C,展示提供圖19中所描繪之電壓V1、V2及V3之兩個DC電壓源之可能配置。在圖22A中,V2接地且形成兩個DC電壓源之間的共同節點。在圖22B中,V1接地且V2形成DC電壓源之間的共同節點。且在圖22C中,V1接地且形成兩個DC電壓源中之每一者之間的共同節點。
在一些實施例中,如圖23A、23B及23C中所示,三個DC電壓源可用以施加三個電壓V1、V2及V3。如圖23A中所展示,三個DC電壓源中之每一者可耦接至地面,且三個DC電壓源中之每一者提供V1、V2、V3中之對應一者。在圖23B中,DC電壓源中之一者接地且三個DC電壓源串聯地配置。在圖23C中,DC電壓源中之一者安置於接地與V2之間,且DC電壓源中之每一者耦接至V2。
接下來參看圖24,展示可用於實現偏壓供應器210、702之例示性偏壓供應器2402。如所示,偏壓供應器2402包括一開關控制器2404及用以提供第一電壓V1、第二電壓V2及第三電壓V3之兩個電壓源。儘管為清楚起見而未圖示,但兩個開關S1及S2耦接至開關控制器2404(例如經由電或光連接),以使開關控制器2404能夠斷開及閉合開關S1、S2,如下文所揭示。所描繪開關S1、S2可由可受到電或光信號控制的單極、單投、通常斷開的開關實現。作為非限制性實例,可藉由絕緣閘極雙極電晶體(IGBT)實現開關S1、S2。
亦展示例示性控制器2460,其可在每一偏壓供應器之外殼內實現或可經實現為集中式工具控制器之部件。如所示,控制器2460經耦接以接收指示在偏壓供應器之輸出Vout處藉由偏壓供應器2402施加之功率的資訊(例如,電壓及/或電流資訊)。如所示,控制器2460亦耦接至開關控制器2404及兩個DC電壓源以使控制器1260能夠控制偏壓供應器2402(例如控制接近於偏壓電極之電漿鞘層)。
另外,控制器2460包括:監測電路2470,其用以量測由偏壓供應器2402施加之功率之至少一個特性;及腔室分析組件2473,其經組態以基於從監測電路2470獲得之功率之經量測特性計算電漿處理腔室10內之環境的特性。在控制器2460中亦展示控制電路2474,該控制電路用以調整由偏壓供應器2402施加之功率以控制接近於偏壓電極之電漿鞘層。在圖24中,控制器2460及開關控制器2404被描繪為單獨的構造,但應認識到,控制器2460及開關控制器2404可經整合及/或共用共同的基礎組件。舉例而言,控制器2460及開關控制器2404可並置於同一印刷電路板上。作為另一實例,控制器2460及開關控制器可由包括類似於或相同於圖18中所描繪之計算裝置之架構的系統實現。
監測電路2470可包括一或多個感測器,諸如定向耦合器、V-I感測器、相位及增益感測器、電壓感測器及電流感測器。如所屬技術領域中具有通常知識者應瞭解,功率之經量測特性可包括電壓、電流、相位及功率。另外,監測電路2470可包括類比/數位轉化組件以將來自感測器之類比信號轉化成功率之經量測特性之數位表示。在其他實施中,感測器與控制器2460分離,且監測電路2470包括類比/數位轉化組件以將來自感測器之類比信號轉化成功率之經量測特性之數位表示。在又其他實施中,感測器包括感測元件及類比/數位轉化組件,且監測電路2470可接收功率之特性之數位表示。對電漿處理腔室之環境之一或多個特性的監測可包括量測(運用監測電路2470)由至少一個偏壓供應器施加之功率的至少一個特性。
腔室分析組件2473通常經組態以基於從監測電路2470獲得之功率之經量測特性判定電漿處理腔室內之環境的特性。儘管可(藉由監測電路2470)量測電漿處理腔室10外部之位置處之功率,但經量測功率特性可用以計算電漿處理腔室10內之環境之特性。舉例而言,使用等式1,可使用結合C1的在Vout處之電壓之量測來計算接近於偏壓區之區域中的離子電流。作為另一實例,使用等式2,可計算接近於偏壓區之區域中之鞘層電容。
控制電路2474通常用以調整該偏壓供應器施加之功率,從而調整電漿處理腔室10內之環境之態樣。舉例而言,可調整接近於區(由偏壓供應器2402建立)之電漿鞘層,及/或亦可調整離子電流。如所示,控制器2460可耦接至DC電壓源及開關控制器2404;因此,參看圖20,控制器2460可用以調整電壓Va、電壓Vb、t1、T及第四部分2066之斜率。如參看圖20所論述,可調整接近與偏壓供應器2402相關聯的偏壓區之電漿鞘層之電壓。
再次參看圖24,在此實施中(其併有圖22A中所描繪之實施例),將第二電壓V2提供於耦接至兩個DC電壓源且耦接至地面之節點處,但在其他實施中(例如以上參看圖22B及圖22C所描述),第二電壓V2無需接地。如所示,第一開關S1經安置成將第一電壓V1可切換地連接至共同節點770(其對S1及S2係共同的),且第二開關S2經安置成將第二電壓V2可切換地耦接至共同節點1970。另外,第一電感元件L1安置於共同節點與輸出節點Vout之間。
在操作中,開關控制器2404經組態以閉合第一開關S1,以沿著電壓波形之第一部分2060(在電壓V0 與Va之間)將輸出節點Vout處之電壓位準增大至第一電壓位準Va,該第一電壓位準沿著波形之第二部分2062得以維持,且接著斷開第一開關S1。開關控制器2404接著閉合第二開關S2,以沿著波形之第三部分864將輸出節點Vout處之電壓波形之位準減小至第二電壓位準Vb,且接著開關控制器704斷開第二開關S2,使得S1及S2斷開。如所示,沿著第三部分864之負壓擺動影響鞘層電壓(Vsheath);因此,可控制Vb之量值以影響緊靠著耦接至Vout之電極平面之鞘層電壓。所屬技術領域中具有知識者應瞭解,在此實施中,可藉由控制V1來控制Vb,但由於電感器L1之效應,Vb不等於V1。
在此實施例中,第二電壓源用作離子補償組件,以至少在第一開關S1及第二開關S2斷開時經由第二電感元件L2將第三電壓V3施加至輸出節點Vout,從而沿著電壓波形之第四部分866進一步減小輸出節點處之電壓波形之位準。如圖20中所示,可建立沿著第四部分2066之負壓斜坡以藉由補償影響基板之離子來維持鞘層電壓。
因此,S1經由第一電感元件L1將第一電壓V1瞬時連接至輸出Vout且接著斷開連接,且在一時間段之後,S2經由第一電感元件L1將第二電壓(例如接地)連接至輸出Vout且接著斷開連接。第三電壓V3經由第二電感元件L2耦接至輸出Vout。在此實施中,第一電壓V1可高於第三電壓V3,且第一電壓V1至輸出Vout之瞬時連接及斷開連接造成輸出Vout之電壓沿著電壓波形之第一部分860增大至第一電壓位準Va,且沿著波形之第二部分2062保持第一電壓位準Va。第一電壓位準Va可高於第一電壓V1,且第二電壓V2(例如接地)可小於第一電壓位準Va。第二電壓V2之瞬時連接及接著斷開連接會造成輸出之電壓在第三部分2064處減小至低於第二電壓V2(例如接地)的第二電壓位準Vb。
如熟習此項技術者將瞭解,本發明之態樣可體現為系統、方法或電腦程式產品。因此,本發明之態樣可採用完全硬體實施例、完全軟體實施例(包括韌體、常駐軟體、微碼,等等)或組合軟體與硬體態樣之實施例的形式,該等實施例在本文中一般皆可稱作「電路」、「模組」或「系統」。此外,本發明之態樣可呈體現於一或多個電腦可讀取媒體中之電腦程式產品的形式,該等一或多個電腦可讀取媒體具有體現於其上的電腦可讀取程式碼。
如本文中所使用,「A、B或C中之至少一者」之敍述意欲意謂「A、B、C或A、B及C之任何組合」。提供所揭示實施例的先前描述以使任何所屬技術領域中具有知識者能夠製作或使用本揭示內容。所屬技術領域中具有知識者將顯而易見對此等實施例之各種修改,且在不背離本揭示內容之精神或範圍的情況下可將本文中定義之一般原理應用於其他實施例。因此,本揭示內容並不意欲限於本文中所展示之實施例,而應符合與本文中所揭示原理及新穎特徵一致的最廣泛範圍。
10:電漿處理腔室 13:箭頭 15:電漿 17:箭頭 20:RF激發源 25:第一電極 35:第二電極/電極總成 37:絕緣體 40:導電線圈 50:工件 60:電極總成 67:絕緣體 70:匹配及計量總成 80:匹配及計量總成 90:高電壓電源供應器 100:計量總成 200:偏壓供應器 210:偏壓供應器 220:DC電源供應器單元 230:RF激發源 240:纜線 245:纜線 250:通信纜線 260:纜線 770:共同節點 972:離子能量之較寬散佈 1074:離子能量之較寬散佈 1216:控制器 1250:使用者介面組件 1252:同步模組 1254:功率控制模組 1300:區塊 1302:區塊 1304:區塊 1306:區塊 1420:鞘層電壓範圍 1422:鞘層電壓範圍 1812:顯示器部分 1820:非揮發性記憶體 1822:匯流排 1824:隨機存取記憶體 1826:處理部分 1827:現場可程式閘陣列 1828:收發器組件 1830:輸入組件 1832:輸出部分 1902:偏壓供應器 1970:共同節點 2060:第一部分 2062:第二部分 2064:第三部分 2066:第四部分 2402:偏壓供應器 2404:開關控制器 2460:控制器 2470:監測電路 2473:腔室分析組件 2474:控制電路 t1:時間 t2:時間 t3:時間 S1:第一開關 S2:第二開關 V1:第一電壓 V2:第二電壓 V3:第三電壓
[圖1A]描繪具有單個高頻RF激發源之電漿處理系統; [圖1B]描繪具有兩個RF激發源之電漿處理系統; [圖1C]描繪具有電感式RF激發源之電漿處理系統; [圖1D]描繪具有三個RF激發源之電漿處理系統; [圖1E]描繪具有包括電感式RF激發源之三個RF激發源之電漿處理系統; [圖2]描繪圖1D及1E中所示之電漿處理系統之實施; [圖3]描繪與圖1A至1E中所描繪之電漿處理系統相關聯之離子能量分佈; [圖4]為描繪在從電漿處理腔室移除RF激發之前及之後的離子密度及電子密度之圖式; [圖5A]為描繪電漿之光學發射光譜之圖式,且說明諸如圖1C或1E中所示之電感源與諸如C2F6或C4F6之碳-氟化物、氬氣及氧氣一起使用之狀況; [圖5B]為描繪電漿之光學發射光譜之圖式,其中諸如圖1C或1E中所示之電感源藉由諸如C2F6或C4F6之碳-氟化物、氬氣及氧氣而經脈衝; [圖6A]說明RF激發源之更換以用於藉由偏壓供應器在電漿鞘層中形成偏壓電壓; [圖6B]說明使用圖6A的RF激發源時之單個能量峰值; [圖6C]說明使用圖6A的RF激發源時之雙能量峰值; [圖7A]為描繪隨時間推移之例示性鞘層電壓之圖式; [圖7B]為描繪可施加至基板支撐件以獲得圖7A中描繪的鞘層電壓之週期性電壓波形之圖式; [圖8A]為描繪隨時間推移之另一例示性鞘層電壓之圖式; [圖8B]為描繪可施加至基板支撐件以獲得圖8A中描繪的鞘層電壓之另一週期性電壓波形之圖式; [圖9A]描繪又一鞘層電壓及所得離子通量對比離子能量; [圖9B]為可產生圖9A中描繪的鞘層電壓之週期性電壓波形之圖式; [圖10A]描繪又一鞘層電壓及所得離子通量對比離子能量; [圖10B]為可產生圖10A中描繪的鞘層電壓之週期性電壓波形之圖式; [圖11]為描繪例示性電漿處理系統之態樣之圖式; [圖12]為描繪可結合圖11中描繪的電漿處理系統使用之控制器之態樣之方塊圖; [圖13]為描繪可結合本文中所揭示之實施例來施行的方法之流程圖; [圖14]描繪例示性RF電壓及例示性鞘層電壓; [圖15]描繪另一例示性RF電壓及例示性鞘層電壓; [圖16]描繪又一例示性RF電壓及例示性鞘層電壓; [圖17]描繪另一例示性RF電壓及例示性鞘層電壓; [圖18]為說明可用於實施本文中所揭示之控制態樣之組件之方塊圖; [圖19]為描繪例示性偏壓供應器之態樣的圖式; [圖20]包括從偏壓供應器輸出之電壓波形的圖式;對應的鞘層電壓之圖式;及對應的開關時序圖; [圖21]為描繪例示性偏壓供應器的波形及例示性電壓值之圖式; [圖22A]描繪使用兩個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的實施; [圖22B]描繪使用兩個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的另一實施; [圖22C]描繪使用兩個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的又一實施; [圖23A]描繪使用三個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的實施; [圖23B]描繪使用三個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的另一實施; [圖23C]描繪使用三個電壓源以將電壓提供至圖19中所描繪之偏壓供應器的又一實施;以及 [圖24]描繪例示性偏壓供應器。
1300:區塊
1302:區塊
1304:區塊
1306:區塊

Claims (15)

  1. 一種用於電漿處理之方法,該方法包含:在具有第一工作週期的第一處理步驟期間,藉由激發源將經脈衝功率施加至電漿處理腔室;在該第一處理步驟期間,將不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生第一電漿鞘層電壓;在具有第二工作週期的第二處理步驟期間,藉由該激發源將經脈衝功率施加至該電漿處理腔室,其中該第二處理步驟在該第一處理步驟之後;以及在該第二處理步驟期間將一不同的不對稱週期性電壓波形施加至該基板支撐件以在該基板與該電漿之間產生一不同的電漿鞘層電壓,其中該第一工作週期長於該第二工作週期。
  2. 如請求項1所述之方法,其中在該第二處理步驟期間之鞘層電壓之量值小於在該第一處理步驟期間之鞘層電壓之量值。
  3. 如請求項1所述之方法,其中在該第二處理步驟期間之經脈衝功率的電壓小於在該第一處理步驟期間之經脈衝功率的電壓。
  4. 如請求項1所述之方法,其中在該第一處理步驟和該第二處理步驟的其中一者或二者的期間產生不同的電漿鞘層電壓包含產生鞘層電壓之範圍。
  5. 如請求項4所述之方法,其中產生鞘層電壓之範圍包含將大於衝擊該基板的表面之離子的離子電流或小於衝擊該基板的表面之離子的離子電流的其中至少一者之電流提供至該基板支撐件。
  6. 一種電漿處理系統,該電漿處理系統包含:一偏壓供應器,其經組態以將一不對稱週期性電壓波形施加至基板支撐件且修改該不對稱週期性電壓波形以修改電漿處理腔室內的電漿與基板之間的電 漿鞘層電壓;以及至少一個控制器,其經組態以:在激發源正在產生具有第一工作週期的經脈衝功率的時候,在第一處理步驟期間使該偏壓供應器與該激發源同步以產生電漿鞘層電壓;在該激發源正在產生具有第二工作週期的經脈衝功率的時候,在第二處理步驟期間使該偏壓供應器與該激發源同步,並且施加該不對稱週期性電壓波形,藉以在該第二處理步驟期間產生具有不同量值的電漿鞘層電壓;以及控制該激發源,使得該第一工作週期長於該第二工作週期。
  7. 如請求項6所述之電漿處理系統,其中該至少一個控制器經組態以:控制該偏壓供應器,使得在該第二處理步驟期間之電漿鞘層電壓之量值小於在該第一處理步驟期間之電漿鞘層電壓之量值。
  8. 如請求項6所述之電漿處理系統,其中該至少一個控制器經組態以控制該偏壓供應器,藉以在該第一處理步驟和該第二處理步驟的其中一者或二者的期間產生電漿鞘層電壓之範圍。
  9. 如請求項8所述之電漿處理系統,其中該至少一個控制器經組態以控制該偏壓供應器,藉以將大於衝擊該基板的表面之離子的離子電流或小於衝擊該基板的表面之離子的離子電流的其中至少一者之電流提供至該基板支撐件。
  10. 如請求項6所述之電漿處理系統,其中該至少一個控制器包括處理器或現場可程式閘陣列中之至少一者,且其中該至少一個控制器包括具有儲存的指令之非暫時性電腦可讀取媒體,所述指令用於供該處理器執行或用於組態該現場可程式閘陣列,藉以控制該偏壓供應器。
  11. 一種具有儲存的指令之非暫時性電腦可讀取媒體,所述指令 用於供處理器執行或用於組態現場可程式閘陣列,以執行電漿處理,所述指令包括用以進行以下操作之指令:在具有第一工作週期的第一處理步驟期間,藉由激發源將經脈衝功率施加至電漿處理腔室;在該第一處理步驟期間,將不對稱週期性電壓波形施加至基板支撐件以在基板與電漿之間產生第一電漿鞘層電壓;在具有第二工作週期的第二處理步驟期間,藉由該激發源將經脈衝功率施加至該電漿處理腔室,其中該第二處理步驟在該第一處理步驟之後;以及在該第二處理步驟期間將一不同的不對稱週期性電壓波形施加至該基板支撐件以在該基板與該電漿之間產生一不同的電漿鞘層電壓,其中該第一工作週期長於該第二工作週期。
  12. 如請求項11所述之非暫時性電腦可讀取媒體,其中在該第二處理步驟期間之鞘層電壓之量值小於在該第一處理步驟期間之鞘層電壓之量值。
  13. 如請求項11所述之非暫時性電腦可讀取媒體,其中在該第二處理步驟期間之經脈衝功率的電壓小於在該第一處理步驟期間之經脈衝功率的電壓。
  14. 如請求項11所述之非暫時性電腦可讀取媒體,其中在該第一處理步驟和該第二處理步驟的其中一者或二者的期間產生不同的電漿鞘層電壓包含產生鞘層電壓之範圍。
  15. 如請求項14所述之非暫時性電腦可讀取媒體,其中產生鞘層電壓之範圍包含將大於衝擊該基板的表面之離子的離子電流或小於衝擊該基板的表面之離子的離子電流的其中至少一者之電流提供至該基板支撐件。
TW110111617A 2017-11-17 2018-11-16 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體 TWI804836B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762588187P 2017-11-17 2017-11-17
US62/588,187 2017-11-17

Publications (2)

Publication Number Publication Date
TW202135128A TW202135128A (zh) 2021-09-16
TWI804836B true TWI804836B (zh) 2023-06-11

Family

ID=66533293

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110111617A TWI804836B (zh) 2017-11-17 2018-11-16 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
TW107140926A TWI726258B (zh) 2017-11-17 2018-11-16 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107140926A TWI726258B (zh) 2017-11-17 2018-11-16 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體

Country Status (8)

Country Link
US (3) US10607813B2 (zh)
EP (2) EP3711080B1 (zh)
JP (2) JP7235761B2 (zh)
KR (1) KR20200100641A (zh)
CN (1) CN111868873B (zh)
PL (1) PL3711080T3 (zh)
TW (2) TWI804836B (zh)
WO (1) WO2019099870A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US11615943B2 (en) * 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US10861677B2 (en) * 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
US11651939B2 (en) * 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
TWI804836B (zh) * 2017-11-17 2023-06-11 新加坡商Aes 全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
WO2019112849A1 (en) * 2017-12-07 2019-06-13 Lam Research Corporation Rf pulsing within pulsing for semiconductor rf plasma processing
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20200086826A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20210107716A (ko) * 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
JP7262375B2 (ja) * 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20210351007A1 (en) * 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023035390A (ja) 2021-09-01 2023-03-13 東京エレクトロン株式会社 プラズマ測定方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230223235A1 (en) * 2022-01-12 2023-07-13 Mks Instruments, Inc. Pulse And Bias Synchronization Methods And Systems
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
EP4235739A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235742A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235737A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235741A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235734A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235736A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235738A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235740A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235735A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235733A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
CN117769757A (zh) * 2022-07-25 2024-03-26 株式会社日立高新技术 等离子体处理方法
US20240055228A1 (en) * 2022-08-10 2024-02-15 Mks Instruments, Inc. Plasma Process Control of Multi-Electrode Systems Equipped with Ion Energy Sensors
US20240055244A1 (en) * 2022-08-10 2024-02-15 Applied Materials, Inc. Pulsed voltage compensation for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201142068A (en) * 2010-03-31 2011-12-01 Applied Materials Inc Apparatus for physical vapor deposition having centrally fed RF energy
US20140061156A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
TW201614097A (en) * 2014-06-10 2016-04-16 Lam Res Corp Improved defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US20170154781A1 (en) * 2011-08-25 2017-06-01 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH0214572A (ja) 1988-07-01 1990-01-18 Toshiba Corp 半導体装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
EP0395415B1 (en) 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
US7931787B2 (en) * 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US9355824B2 (en) 2006-12-12 2016-05-31 Evatec Ag Arc suppression and pulsing in high power impulse magnetron sputtering (HIPIMS)
EP1978542B1 (de) 2007-03-08 2010-12-29 HÜTTINGER Elektronik GmbH + Co. KG Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
CN104174049B (zh) 2007-11-06 2017-03-01 克里奥医药有限公司 可调施放器组件以及等离子体灭菌设备
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
EP2122657B8 (en) * 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP2010003465A (ja) 2008-06-18 2010-01-07 Sumitomo Wiring Syst Ltd 雌型のコネクタ
CN102105618B (zh) 2008-07-31 2012-07-25 佳能安内华股份有限公司 等离子处理设备和电子器件制造方法
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2010115128A2 (en) 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
CN102859028A (zh) 2010-03-22 2013-01-02 应用材料公司 使用远程等离子体源的介电沉积
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5718124B2 (ja) 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9604877B2 (en) * 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9283635B2 (en) 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
JP6329542B2 (ja) * 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9520267B2 (en) 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9659751B2 (en) 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102145815B1 (ko) 2016-01-18 2020-08-19 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
TWI804836B (zh) * 2017-11-17 2023-06-11 新加坡商Aes 全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
JP2019125626A (ja) 2018-01-12 2019-07-25 東芝メモリ株式会社 半導体装置
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
US20210351007A1 (en) 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201142068A (en) * 2010-03-31 2011-12-01 Applied Materials Inc Apparatus for physical vapor deposition having centrally fed RF energy
US20170154781A1 (en) * 2011-08-25 2017-06-01 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US20140061156A1 (en) * 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
TW201614097A (en) * 2014-06-10 2016-04-16 Lam Res Corp Improved defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Also Published As

Publication number Publication date
EP4231328A1 (en) 2023-08-23
JP2021503700A (ja) 2021-02-12
US20200203128A1 (en) 2020-06-25
TW201937532A (zh) 2019-09-16
US10607813B2 (en) 2020-03-31
US11610761B2 (en) 2023-03-21
US10896807B2 (en) 2021-01-19
JP2023075173A (ja) 2023-05-30
WO2019099870A1 (en) 2019-05-23
TWI726258B (zh) 2021-05-01
CN111868873B (zh) 2023-06-16
PL3711080T3 (pl) 2023-12-11
TW202135128A (zh) 2021-09-16
EP3711080B1 (en) 2023-06-21
JP7235761B2 (ja) 2023-03-08
EP3711080A1 (en) 2020-09-23
EP3711080A4 (en) 2021-09-29
US20210134562A1 (en) 2021-05-06
KR20200100641A (ko) 2020-08-26
CN111868873A (zh) 2020-10-30
JP7432781B2 (ja) 2024-02-16
US20190157040A1 (en) 2019-05-23

Similar Documents

Publication Publication Date Title
TWI804836B (zh) 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
TWI792598B (zh) 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
KR102319781B1 (ko) 기판 에칭 동안 기판 dc 바이어스 및 이온 에너지 및 각 분포를 제어하는 방법 및 장치
JP6548748B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI614807B (zh) 電漿處理裝置
CN107978506B (zh) 控制开关模式离子能量分布系统的方法
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
WO2014035889A1 (en) Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
TW200845183A (en) Plasma processing apparatus of substrate and plasma processing method thereof
US10998170B2 (en) Method for ion mass separation and ion energy control in process plasmas
US20190318913A1 (en) Apparatus and Method for Controlling Ion Energy Distribution in Process Plasmas
WO2014035899A1 (en) A method of controlling the switched mode ion energy distribution system
KR102475069B1 (ko) 반도체 제조 장치, 이의 동작 방법
WO2019199635A1 (en) Apparatus and method for controlling ion energy distribution in process plasmas
US20230377840A1 (en) Apparatus with switches to produce a waveform