JP2023075173A - プラズマ処理源および基板バイアスの同期パルス化 - Google Patents

プラズマ処理源および基板バイアスの同期パルス化 Download PDF

Info

Publication number
JP2023075173A
JP2023075173A JP2023027225A JP2023027225A JP2023075173A JP 2023075173 A JP2023075173 A JP 2023075173A JP 2023027225 A JP2023027225 A JP 2023027225A JP 2023027225 A JP2023027225 A JP 2023027225A JP 2023075173 A JP2023075173 A JP 2023075173A
Authority
JP
Japan
Prior art keywords
plasma
voltage
during
processing step
sheath
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2023027225A
Other languages
English (en)
Other versions
JP7432781B2 (ja
Inventor
フェアバーン ケビン
Fairbairn Kevin
ショー デニス
Shaw Denis
カーター ダニエル
Carter Daniel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aes Global Holdings Private Ltd
Original Assignee
Aes Global Holdings Private Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aes Global Holdings Private Ltd filed Critical Aes Global Holdings Private Ltd
Publication of JP2023075173A publication Critical patent/JP2023075173A/ja
Application granted granted Critical
Publication of JP7432781B2 publication Critical patent/JP7432781B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】少なくとも1つのプラズマ電源および少なくとも1つのバイアス供給源のパルス化を同期させるプラズマ処理システム、方法及び装置を提供する。【解決手段】少なくとも1つのプラズマ電源および少なくとも1つのバイアス供給源のパルス化を同期させる方法であって、第1のステップTS1、TB1の間に、電力をプラズマ処理チャンバに印加し、第1のステップの間に基板とプラズマとの間に第1のプラズマシース電圧VB1を発生させる。第2の処理ステップTB2の間、電力がプラズマ処理チャンバに印加され、異なるプラズマシース電圧VB2が、基板とプラズマとの間に印加される。【選択図】図14

Description

(第35 U.S.C. §119号に基づく優先権の主張)
特許に関する本願は、「Synchronized Pulsing of Plasma Processing Source and Substrate Bias」と題され、2017年11月17日に出願され、本願の譲受人に譲渡され、本明細書を参照することによって本明細書に明確に組み込まれる、仮出願第62/588,187号の優先権を主張する。
本開示は、概して、プラズマ処理に関する。特に、限定としてではないが、本開示は、少なくとも1つのプラズマ電源および少なくとも1つのバイアス供給源のパルス化を同期させるためのシステム、方法、および装置に関する。
プラズマ処理システムでは、基板(例えば、半導体ウエハ)が、静電チャック(ESC)または機械的ウエハクランプを具備するチャック等のRFバイアス基板保持器上に設置され、基板は、プラズマからのイオンに暴露される。従来のアプローチは、イオン密度が、通常、独立したプラズマ源の制御によって制御される間、RFバイアス電力レベルを変動させることによって基板に入射する(プラズマからの)イオンのエネルギーを制御するように試みる。プラズマ源は、例えば、誘電結合プラズマ(ICP)源、別のRF周波数でバイアスされる二次上部電極を有する二重容量結合プラズマ源(CCP)、ヘリコンプラズマ源、マイクロ波プラズマ源、マグネトロン、またはある他の独立して動作されるプラズマエネルギー源であり得る。
ある側面は、プラズマ処理のための方法として特徴付けられ得る。本方法は、第1の処理ステップの間に電力をプラズマ処理チャンバに印加するステップと、第1の処理ステップの間に非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じるステップとを含む。本方法はまた、第1の処理ステップに続く第2の処理ステップの間に電力をプラズマ処理チャンバに印加するステップと、第2の処理ステップの間に異なる非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に異なるプラズマシース電圧を生じるステップとを含む。
別の側面は、プラズマ処理システムとして特徴付けられ得る。本システムは、プラズマ処理チャンバと、電力をプラズマ処理チャンバに印加し、プラズマ処理チャンバ内にプラズマを生じるように構成される、励起源とを含む。バイアス供給源が、基板支持体への非対称周期電圧波形を印加および修正し、プラズマ処理チャンバ内でプラズマと基板との間のシース電圧を修正するように構成され、少なくとも1つのコントローラが、複数の処理ステップのそれぞれの間に、励起源およびバイアス供給源の動作を同期させるように構成される。
さらに別の側面は、プロセッサによる実行のために、またはフィールドプログラマブルゲートアレイを構成するために、プラズマ処理を実施するためのその上に記憶される命令を備える、非一過性コンピュータ可読媒体である。命令は、第1の処理ステップの間に電力をプラズマ処理チャンバに印加し、第1の処理ステップの間に非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じるための命令を含む。加えて、命令は、第2の処理ステップの間に電力をプラズマ処理チャンバに印加し、また、第2の処理ステップの間に異なる非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に異なるプラズマシース電圧を生じるための命令を含む。
本発明は、例えば、以下の項目を提供する。
(項目1)
プラズマ処理のための方法であって、前記方法は、
第1の処理ステップの間に電力をプラズマ処理チャンバに印加するステップと、
前記第1の処理ステップの間に非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じるステップと、
第2の処理ステップの間に電力を前記プラズマ処理チャンバに印加するステップであって、前記第2の処理ステップは、前記第1の処理ステップに続く、ステップと、
前記第2の処理ステップの間に異なる非対称周期電圧波形を前記基板支持体に印加し、前記基板と前記プラズマとの間に異なるプラズマシース電圧を生じるステップと
を含む、方法。
(項目2)
前記第1の処理ステップの間の前記電力は、連続波電力であり、
前記第2の処理ステップの間の前記電力は、パルス化電力であり、
前記第2の処理ステップの間の前記シース電圧の大きさは、前記第1の処理ステップの間の前記シース電圧の大きさを上回る、項目1に記載の方法。
(項目3)
前記第2の処理ステップの間の前記電力の電圧は、前記第1の処理ステップの間の前記電力の電圧よりも低い、項目2に記載の方法。
(項目4)
前記第2の処理ステップの間に前記異なるプラズマシース電圧を発生させるステップは、前記第2の処理ステップの間にある範囲のシース電圧を発生させるステップを含む、項目1に記載の方法。
(項目5)
前記ある範囲のシース電圧を発生させるステップは、前記基板の表面に衝突するイオンのイオン電流を上回るか、または下回るかの少なくとも1つである電流を前記基板のための支持体に提供するステップを含む、項目4に記載の方法。
(項目6)
前記第1の処理ステップの間の前記電力は、第1のデューティサイクルを伴うパルス化電力であり、
前記第2の処理ステップの間の前記電力は、第2のデューティサイクルを伴うパルス化電力であり、
前記第2の処理ステップの間の前記シース電圧の大きさは、前記第1の処理ステップの間の前記シース電圧の大きさと異なる、項目1に記載の方法。
(項目7)
前記第1のデューティサイクルは、前記第2のデューティサイクルよりも長く、前記第2の処理ステップの間の前記シース電圧の大きさは、前記第1の処理ステップの間の前記シース電圧の大きさを下回る、項目6に記載の方法。
(項目8)
プラズマ処理システムであって、前記システムは、
プラズマ処理チャンバと、
励起源であって、前記励起源は、電力を前記プラズマ処理チャンバに印加し、前記プラズマ処理チャンバ内にプラズマを生じるように構成される、励起源と、
バイアス供給源であって、前記バイアス供給源は、基板支持体への非対称周期電圧波形を印加および修正し、前記プラズマ処理チャンバ内で前記プラズマと基板との間のシース電圧を修正するように構成される、バイアス供給源と、
少なくとも1つのコントローラであって、前記少なくとも1つのコントローラは、複数の処理ステップのそれぞれの間に、前記励起源および前記バイアス供給源の動作を同期させるように構成される、少なくとも1つのコントローラと
を備える、システム。
(項目9)
前記少なくとも1つのコントローラは、
連続波電力として第1の処理ステップの間に電力を印加するように前記励起源を制御することと、
パルス化電力として第2の処理ステップの間に電力を印加するように前記励起源を制御することと、
前記第1の処理ステップの間のシース電圧の大きさを上回る前記第2の処理ステップの間の前記シース電圧を生じるように前記バイアス供給源を制御することと
を行うように構成される、項目8に記載のプラズマ処理システム。
(項目10)
前記少なくとも1つのコントローラは、前記第1の処理ステップの間の前記電力の電圧よりも低いレベルにおける前記第2の処理ステップの間の前記電力の電圧を印加するように前記励起源を制御するように構成される、項目9に記載のプラズマ処理システム。
(項目11)
前記少なくとも1つのコントローラは、第2の処理ステップの間にある範囲のシース電圧を生じるように前記バイアス供給源を制御するように構成される、項目8に記載のプラズマ処理システム。
(項目12)
前記少なくとも1つのコントローラは、前記基板の表面に衝突するイオンのイオン電流を上回るか、または下回るかの少なくとも1つである電流を前記基板のための支持体に提供し、前記ある範囲のシース電圧を生じるように前記バイアス供給源を制御するように構成される、項目11に記載のプラズマ処理システム。
(項目13)
前記少なくとも1つのコントローラは、
第1のデューティサイクルを伴うパルス化電力として第1の処理ステップの間にRF電力を生じるように前記励起源を制御することと、
第2のデューティサイクルを伴うパルス化電力として第2の処理ステップの間に電力を生じるように前記励起源を制御することと、
前記第1の処理ステップの間の前記シース電圧の大きさと異なる前記第2の処理ステップの間の前記シース電圧の大きさを生じるように前記バイアス供給源を制御することと
を行うように構成される、項目8に記載のプラズマ処理システム。
(項目14)
前記少なくとも1つのコントローラは、前記第1のデューティサイクルが前記第2のデューティサイクルよりも長く、前記第2の処理ステップの間の前記シース電圧の大きさが前記第1の処理ステップの間の前記シース電圧の大きさを下回るように前記励起源を制御するように構成される、項目13に記載のプラズマ処理システム。
(項目15)
前記少なくとも1つのコントローラは、プロセッサまたはフィールドプログラマブルゲートアレイのうちの少なくとも1つを含み、前記少なくとも1つのコントローラは、非一過性コンピュータ可読媒体を含み、前記非一過性コンピュータ可読媒体は、その上に記憶される命令を備え、前記命令は、前記プロセッサによる実行のために、または前記フィールドプログラマブルゲートアレイを構成するために、
連続波電力として第1の処理ステップの間に電力を印加するように前記励起源を制御することと、
パルス化電力として第2の処理ステップの間に電力を印加するように前記励起源を制御することと、
前記第1の処理ステップの間のシース電圧の大きさを上回る前記第2の処理ステップの間の前記シース電圧を生じるように前記バイアス供給源を制御することと
を行う、項目8に記載のプラズマ処理システム。
(項目16)
非一過性コンピュータ可読媒体であって、前記非一過性コンピュータ可読媒体は、その上に記憶される命令を備え、前記命令は、プロセッサによる実行のために、またはフィールドプログラマブルゲートアレイを構成するために、プラズマ処理を実施し、前記命令は、
第1の処理ステップの間に電力をプラズマ処理チャンバに印加することと、
前記第1の処理ステップの間に非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じることと、
第2の処理ステップの間に電力を前記プラズマ処理チャンバに印加することであって、前記第2の処理ステップは、前記第1の処理ステップに続く、ことと、
前記第2の処理ステップの間に異なる非対称周期電圧波形を前記基板支持体に印加し、前記基板と前記プラズマとの間に異なるプラズマシース電圧を生じることと
を行うための命令を含む、非一過性コンピュータ可読媒体。
図1Aは、単一の高周波数RF励起源を伴うプラズマ処理システムを描写する。
図1Bは、2つのRF励起源を伴うプラズマ処理システムを描写する。
図1Cは、誘導RF励起源を伴うプラズマ処理システムを描写する。
図1Dは、3つのRF励起源を伴うプラズマ処理システムを描写する。
図1Eは、誘導RF励起源を含む3つのRF励起源を伴うプラズマ処理システムを描写する。
図2は、図1Dおよび1Eに示されるプラズマ処理システムの実装を描写する。
図3は、図1A-1Eに描写されるプラズマ処理システムと関連付けられるイオンエネルギー分布を描写する。
図4は、RF励起がプラズマ処理チャンバから除去される前後のイオン密度および電子密度を描写する、グラフである。
図5Aは、プラズマの光学発光スペクトルを描写する、グラフであり、図1Cまたは1Eに示されるもの等の誘導源がC2F6またはC4F6等のフッ化炭素、アルゴン、および酸素と併用される場合を図示する。図5Bは、図1Cまたは1Eに示されるもの等の誘導源がC2F6またはC4F6等のフッ化炭素、アルゴン、および酸素でパルス化される、プラズマの光学発光スペクトルを描写する、グラフである。
図6Aは、バイアス供給源によってプラズマシース中にバイアス電圧を生成するためのRF励起源の交換を図示する。
図7Aは、経時的に例示的シース電圧を描写する、グラフである。
図7Bは、図7Aに描写されるシース電圧を達成するために基板支持体に印加され得る周期電圧波形を描写する、グラフである。
図8Aは、経時的に別の例示的シース電圧を描写する、グラフである。
図8Bは、図8Aに描写されるシース電圧を達成するために基板支持体に印加され得る別の周期電圧波形を描写する、グラフである。
図9Aは、さらに別のシース電圧および結果として生じるイオンフラックス対イオンエネルギーを描写する。図9Bは、図9Aに描写されるシース電圧を生じ得る周期電圧波形を描写する、グラフである。
図10Aは、さらに別のシース電圧および結果として生じるイオンフラックス対イオンエネルギーを描写する。図10Bは、図10Aに描写されるシース電圧を生じ得る周期電圧波形を描写する、グラフである。
図11は、例示的プラズマ処理システムの側面を描写する、略図である。
図12は、図11に描写されるプラズマ処理システムと関連して使用され得るコントローラの側面を描写する、ブロック図である。
図13は、本明細書に開示される実施形態と関連して考察され得る方法を描写する、フローチャートである。
図14は、例示的RF電圧および例示的シース電圧を描写する。
図15は、別の例示的RF電圧および例示的シース電圧を描写する。
図16は、さらに別の例示的RF電圧および例示的シース電圧を描写する。
図17は、別の例示的RF電圧および例示的シース電圧を描写する。
図18は、本明細書に開示される制御側面を実装するために利用され得るコンポーネントを図示する、ブロック図である。
図19は、例示的バイアス供給源の側面を描写する、略図である。
図20は、バイアス供給源からの電圧波形出力のグラフ、対応するシース電圧のグラフ、および対応するスイッチタイミング図を含む。
図21は、例示的バイアス供給波形および例示的電圧値を描写する、グラフである。
図22Aは、図19に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用する実装を描写する。図22Bは、図19に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用する別の実装を描写する。図22Cは、図19に描写されるバイアス供給源に電圧を提供するために2つの電圧源を使用するさらに別の実装を描写する。
図23Aは、図19に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用する実装を描写する。図23Bは、図19に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用する別の実装を描写する。図23Cは、図19に描写されるバイアス供給源に電圧を提供するために3つの電圧源を使用するさらに別の実装を描写する。
図24は、例示的バイアス供給源を描写する。
単語「例示的」は、「ある実施例、事例、または例証としての役割を果たすこと」を意味するように本明細書に使用される。「例示的」として本明細書に説明される任意の実施形態は、必ずしも他の実施形態よりも好ましい、または有利として解釈されるものではない。
前置き:以下の図におけるフローチャートおよびブロック図は、本発明の種々の実施形態による、システム、方法、およびコンピュータプログラム製品の可能性として考えられる実装のアーキテクチャ、機能性、および動作を図示する。この点で、これらのフローチャートまたはブロック図におけるいくつかのブロックは、規定された論理機能を実装するための1つ以上の実行可能命令を備える、コードのモジュール、セグメント、または一部を表し得る。また、いくつかの代替実装では、ブロックに記述される機能は、図に記述される順序以外で起こり得ることに留意されたい。例えば、連続して示される2つのブロックは、実際には、実質的に並行して実行されてもよい、またはブロックは、時として、関与する機能性に応じて、逆の順序で実行されてもよい。また、ブロック図および/またはフローチャート図の各ブロック、およびブロック図および/またはフローチャート図におけるブロックの組み合わせは、規定された機能または行為を実施する専用ハードウェアベースシステムまたは専用ハードウェアおよびコンピュータ命令の組み合わせによって実装され得ることに留意されたい。
以下の開示は、概して、ウエハプラズマ処理を指すが、実装は、プラズマチャンバ内での任意の基板処理を含むことができる。いくつかの事例では、基板以外の物体が、本明細書に開示されるシステム、方法、および装置を使用して処理されることができる。言い換えると、本開示は、物理的または化学的手段による表面変化、表面下変化、堆積、または除去をもたらすために、低大気圧プラズマ処理チャンバ内での任意の物体のプラズマ処理に適用される。
本開示は、以下のバイアス供給源関連特許、すなわち、第US9287092号、第US9287086号、第US9435029号、第US9309594号、第US9767988号、第US9362089号、第US9105447号、第US9685297号、第US9210790号に開示されるようなプラズマ処理および基板バイアス技法を利用してもよい。これらの特許の全体が、参照することによって本明細書に組み込まれる。
本開示の目的のために、「励起源」、「源発生器」、「RF発生器」、および「RF源」は、そのエネルギーが、主として、プラズマの発生および持続を対象とするものである一方、「バイアス供給源」は、そのエネルギーが、主として、プラズマからイオンおよび電子を誘引するための表面電位の発生を対象とするものである。
図1A-1Eは、プラズマ処理チャンバ内のプラズマの無線周波数(RF)励起のための励起および正弦波バイアス組み合わせの種々のバージョンを図示する。異なるRF励起周波数範囲が、LF(低周波数<3MHz)、MF(中間周波数>3MHz-<30Mhz)、およびVHF(超高周波数>30MHz)として列挙される。例示的周波数範囲が図1A-1Eに示されるが、当業者は、他の周波数範囲および周波数範囲の組み合わせもまた、実装され得ることを理解するであろう。
図1Aは、単一の高周波数RF励起源20(例えば、RF発生器またはRF発生器および整合)が、その上に基板(例えば、ウエハ)が位置し、処理される、電極25に接続されるシステムを図示する。残りのチャンバ本体10は、接地電位にある。離散コンポーネントであるか、または電極25の構築によって形成されるかのいずれかのRF励起源20とプラズマ15との間のコンデンサの存在は、ウエハの上方のプラズマシース中にDC電圧バイアスを形成させる。本明細書に使用されるように、シース電圧は、処理チャンバ内の基板(本明細書では、ワークピースとも称される)の表面とプラズマ15との間の電位差を意味する。
図1Bは、図1Aと類似するが、図1Aの源20よりも高い周波数であり得る、第2のRF励起源の追加を伴う。例えば、本第2のRF励起源は、VHF源であり得る。第2の源は、第1の電極25と対向し得る第2の電極35に接続され得る。第1および第2の源の両方は、シース電圧、したがって、基板に到達するイオンエネルギーに寄与するが、第2の源は、主として、プラズマの形成および維持を対象とする。この2源システムは、(全てではないが)いくつかの事例では、図1Aの単一源システムよりも高いプラズマイオン密度を達成することができる。より高いプラズマイオン密度は、より速い処理につながり、これは、加工産業における費用を削減する。
図1Cは、第2の源(容量源)が誘導源と置換される、図1Bに描写される設計の代替を図示する。例えば、第2の源は、RF源によって駆動される伝導性コイル40を含むことができる。本誘導源は、図1Bの容量源よりも低い周波数で駆動され得る。例えば、高周波数駆動電圧が、使用され得る。本源は、エネルギーをプラズマに誘導的に結合し、プラズマを発生させ、維持する。再び、両方の源は、シース電圧、したがって、イオンエネルギーに寄与するが、誘導源は、主として、プラズマの発生および維持を対象とする。
図1Dおよび1Eは、3つのRF励起源を使用するプラズマ処理チャンバを図示する。示されるように、1つの源は、チャンバの上部(但し、他の位置もまた可能である)に示される第1の電極を介してプラズマに結合され得る一方、他の2つのRF励起源は、基板支持電極に接続される。源の本組み合わせは、基板バイアスプロファイルを制御する際に付加的柔軟性を可能にする。例えば、基板電極に結合される源のうちの一方は、パルス化電圧波形を提供し得る一方、他方は、DC電流を提供する。
図2は、3つのRF励起源を含む、図1Dおよび1Eに示されるプラズマ処理チャンバの1つの実装を示す。示されるように、源はそれぞれ、計測アセンブリ100を含むことができる。源および計測100は、それぞれ、それぞれ整合および計測アセンブリ80および70を介して、電極アセンブリ35および60に接続されることができる。電極35および60は、絶縁体37および67によってチャンバ本体10から絶縁されることができる。ワークピース50が、下側電極アセンブリ60上に位置する。高電圧電力供給源90が、下側電極アセンブリ60に接続され、例えば、ヘリウム裏側冷却が利用されるとき、ワークピース50の静電チャックを提供する。これは、以前の世代のプラズマ処理チャンバと比較して、より複雑かつ費用のかかる配列であることが明白である。この増大する費用および複雑性は、そのようなプラズマ処理機器の購入者にとって有意な問題である。
図3は、基板をバイアスし、それによって、基板バイアス電圧を制御するために第2のRF励起源を使用する影響を図示する。図1A-1Cのプラズマ処理配列は、矢印13によって示されるようなイオンエネルギーのツインピーク分布13を生じる。第2のRF励起源が基板をバイアスするために追加されると、イオンエネルギー分布関数(IEDF)は、矢印17によって示されるように修正される。基板をバイアスする2つのRF発生器に関する電流の比率を調節することは、IEDF関数を修正することができるが、2つのピークは、持続する。
2つのピークの存在は、問題となり得るが、他の使用事例では、2つのイオンエネルギーピークは、有益であり得る。例えば、半導体ウエハ加工における接点等の深い孔のエッチングの間、孔の断面積における対応する成長を伴わずに孔深さが深くなる、異方性エッチング結果が、要求される(例えば、側壁エッチングの速度に対して、孔の底部をエッチングする速度を最大限にすることが、所望され得る)。これは、垂直エッチングが(例えば、プラズマ化学の酸化成分を用いて)孔を深くし続ける間、孔の側壁上にポリマーを堆積させ、したがって、エッチングから側壁を保護するプラズマエッチング化学を利用することによって達成されることができる。
図3では、2つのピークのより高いエネルギーは、主に垂直方向における材料のエッチングを支援するイオンに対応する一方、2つのピークのより低いものは、主に側壁ポリマー堆積を支援するイオンに対応する。プラズマ化学のエッチングおよび重合成分の平衡は、RF励起源の電力および周波数、プラズマに給送されるガスの量および比率、動作圧力、ウエハ温度、レジストタイプおよび関連付けられるハードマスク等のパターン化の選択肢、および他の因子の最適化を伴い得る複雑なタスクである。したがって、図3のピークのイオンエネルギー、および相互に対するこれらのピークの振幅を制御する改良された方法の必要性が当技術分野にある。
近年、高度なプラズマ処理システムは、いくつかの理由からパルス化プラズマを使用することに頼っている。1つの事例では、ウエハに付与される平均エネルギーを低減させる。例えば、エッチング特徴の所望のエッチング速度または深さを達成するために、10以上のキロワットのバイアス電力等の高電力が、使用されてもよい。残念ながら、そのような高電力の連続的印加は、ウエハまたはプロセスハードウェアに損傷を及ぼし得るため、パルス化が、デューティサイクルを低減させることによって送達される平均電力を低減させるために使用される。別の事例では、パルス化は、プラズマ中の電子温度を制御するために使用される。
図4は、プラズマがオフにされた後、プラズマ中の電子エネルギー/温度がイオン崩壊の速度よりもはるかに速く崩壊する効果の実施例を示す。プラズマをパルス化することによって、電子温度は、イオンの適度な密度を維持しながら低減されることができる。プラズマ中の電子の温度は、プラズマ内の化学的解離のレベルを決定し、したがって、パルス化は、プラズマ内の化学種組成を変化させるために使用されることができる。
図5Aは、プラズマの光学発光スペクトルを示し、図1Cまたは1Eに示されるもの等の誘導源がC2F6またはC4F6等のフッ化炭素、アルゴン、および酸素と併用され、高解離度を生成し、過剰なフッ素イオンを遊離させ、したがって、ちょうど500nm波長にわたってC2ピークを引き起こすために十分に給電される場合を図示する。本プラズマ化学は、高エッチング速度を有するが、重合化学に対するエッチング化学の非理想的な平衡に起因して、不良な異方性エッチングプロファイルを有するであろう。
図5Bは、図5Aにおけるものと同一の化学およびRF電力条件が使用されるが、RF電力が、この場合、約1kHzおよび50%デューティサイクルにおいてパルス化される状況を示す。本プロファイルは、いかなるC2ピークも示さず、異方性エッチングに関する平衡したプラズマ化学の特性である、より多い量の結合したフッ素を400nm波長を下回って示す。
多くのバイアス供給源は、対称(例えば、正弦波)出力で動作する(例えば、波形の最初の半サイクルは、波形の最後の半サイクルにおける対応する対称成分を有する)。基板に正弦波形を印加することは、イオンエネルギーの広い分布を誘発し、これは、所望のエッチングプロファイルを実行するプラズマプロセスの能力を限定する。
図6Aは、「バイアス供給源」200によってプラズマシース中にバイアス電圧を生成するための(例えば、対称波形を印加する)RF源の交換を図示する。バイアス供給源200は、本明細書に前述で列挙されるバイアス供給源関連特許に開示されるバイアス供給源によって実現され、それと一貫して動作されてもよい。加えて、本開示は、図19-24を参照して、本明細書にさらに例示的バイアス供給源の詳細を含む。
図6Bでは、バイアス供給源200は、単一のエネルギーピークを達成するために使用される一方、図6Cは、バイアス供給源200がまた、二重エネルギーピーク結果を生じるようにプログラムされ得ることを図示する。イオンエネルギー分布関数の実際の形状は、調整可能である。これは、非常に有利であり、所望のプロセス結果を達成するためのプラズマプロセスレシピの開発を大いに簡略化する。同時に、バイアス供給源バイアス電圧プロファイルは、定位置にウエハを保持するために要求される静電電圧が維持されることを確実にするように制御されることができる。言い換えると、バイアス供給源200は、シース電圧およびイオン密度を制御し、バイアス電圧のパルス化を制御し、また、ウエハの静電チャックを維持することができる。
次に図7Aおよび7Bを参照すると、示されるものは、それぞれ、例示的シース電圧およびシース電圧を達成するための周期電圧波形の描写である。より具体的には、図7Aは、図6Bに描写される分布と類似するイオンエネルギー分布を生じるために発生され得るシース電圧の一般的描写である。当業者が理解するように、バイアス供給源200に結合される電極は、プラズマに容量結合され得、プラズマシースの非線形性質は、ワークピースの表面とプラズマとの間に電位差をもたらす。プラズマシースを横断する本電圧降下は、基板に向かって加速されるプラズマイオンの平均エネルギーを決定し、結果として、シース電圧は、イオンによって実施されるエッチングに影響を及ぼす。
図7Aに示されるように、シース電圧の周期的上昇(例えば、時間t2の間に描写される)は別として、シース電圧は、略一定(例えば、時間t1の間に描写される)であり、イオンエネルギーの略単一エネルギー分布を生じる。シース電圧の各周期的上昇の間、基板電位は、プラズマ電位に到達し、シースは、一時的に圧潰するが、t1は、サイクル(t1+t2)の65%~95%であり得、例示的実装では、t1は、サイクルの80%である。また、シース電圧は、400kHzの周波数で変動し得、したがって、t1+t2(周期Tとして描写される)は、2.5マイクロ秒であり得る(但し、当然ながら、シース電圧が異なる周波数で変動し得ることが検討される)。t1の間の略一定のシース電圧は、イオンエネルギー分布関数を決定する。
当業者はまた、図7Aに描写されるシース電圧の周期的上昇が、ワークピースの表面上に衝突するイオン電流が基板の表面上の正電荷の量を増加させるため、変動しないDC電圧は、基板支持体に単純に印加されることができないという事実に起因して、意図的であることを理解する。
結果として、図7Bに示されるように、印加された電圧が、チャック、基板、およびシース静電容量の間で分割されることをもたらす、周期電圧波形が、基板電極に印加される。本アプローチは、イオン電流の補償を可能にし、シース電圧および基板電圧が、各バイアス電圧サイクルの高いパーセンテージ(例えば、75%~90%)の間に一定のままであることを可能にする。示されるように、周期電圧波形は、非対称であり、したがって、電圧波形の最初の半サイクルは、電圧波形の最後の半サイクルの間の対応する対称成分を有していない。示されるように、周期電圧波形は、基板上に蓄積された余分な電荷を除去するための(時間t2の間の)正のジャンプと、シース電圧を確立するための(t2の終了時の)負の電圧スイングと、イオン電流を補償するための(時間t1の間にシース電圧を一定に保つための)負の電圧ランプとを含む。要求されないが、周期電圧波形は、400kHzであり得、該当する場合、それぞれ、t1は、1.9~2.25マイクロ秒であり得、t2は、0.6~0.25マイクロ秒であり得る。
次に図8Aおよび8Bを参照すると、示されるものは、例示的(変動する)シース電圧および変動するシース電圧を達成するための対応する非対称周期電圧波形である。図8Aに描写されるシース電圧は、図6Cに描写されるものと類似するイオンエネルギー分布関数を生じるために使用されてもよい。より具体的には、図8Aに描写されるシース電圧は、より高い電圧(VS1)とより低いシース電圧(VS2)との間で交互になり、これは、2ピークイオンエネルギー分布関数をもたらす。図8Aは、低シース電圧毎に1つの高シース電圧(1:1比)を描写するが、図6Cに示されるより低いエネルギーのより低い確率を達成するために、VS1における高エネルギーシース電圧パルスの数は、VS2におけるより低い電圧パルスの数に対して増加されてもよい。
図9Aおよび9Bを参照すると、示されるものは、補償不足のイオン電流と関連付けられる(バイアス供給源によって出力される)シース電圧、イオンフラックス、および周期非対称電圧波形の側面である。図9Aに示されるように、イオン電流が補償不足であるとき、シース電圧は、ランプ様様式で負になりにくくなり、これは、イオンエネルギーのより広い広がり972を生じる。図9Bに示されるものは、図9Aに描写されるシース電圧をもたらすために基板支持体に印加され得る周期電圧である。示されるように、周期電圧波形の負のランプ様部分は、イオン電流が基板支持体に提供される補償電流に等しくなるようにイオン電流を補償する(破線として示される)周期電圧波形のランプ様部分よりも低い勾配で降下する。
図10Aおよび10Bは、補償過剰のイオン電流と関連付けられる(バイアス供給源によって出力される)シース電圧、イオンフラックス、および周期非対称電圧波形の側面を描写する。図10Aに示されるように、イオン電流が補償過剰であるとき、シース電圧は、ランプ様様式で負になりやすくなり、これもまた、イオンエネルギーのより広い広がり1074を生じる。図10Bに示されるものは、図10Aに描写されるシース電圧をもたらすために基板支持体に印加され得る周期電圧波形である。示されるように、周期電圧関数の負のランプ様部分は、(破線として示される)イオン電流を補償する周期電圧波形のランプ様部分を上回る速度で降下する。
図11を参照すると、示されるものは、以前の実践と比較してプラズマ処理を有意に簡略化するプラズマ処理システムである。プラズマチャンバ10は、RF励起(例えば、VHF)によって生成されるプラズマ15とともに示される一方、ワークピース50に印加されるバイアスは、計測アセンブリを含む場合とそうではない場合がある、バイアス供給源210によって提供される。本実施形態では、プロセスチャンバ10からある程度の距離に位置し得る、単一のDC電力供給源ユニット220が、それぞれ、ケーブル245および240によってバイアス供給源210およびRF励起源230(VHF RF/計測/整合アセンブリを含む場合とそうではない場合がある)に接続されることができる。電力制御およびデータ信号が、通信ケーブル250によって送達および受信されてもよい。電気励起とバイアス電圧との間の非常に高速の同期が、ケーブル260によって提供される。図示される静電容量結合VHF RF励起源は、RF励起源がバイアス供給源210によって置換される図1Eに示されるような誘導源を用いて代用されることができる。代替として、マイクロ波スリットまたは類似するプラズマ励起源、および種々の他の励起源が、使用されることができる。「RF」励起が多数の実施例において本明細書に言及されるが、比較的に低い周波数が、いくつかの実施形態では、プラズマを励起および維持するために利用され得ることを認識されたい。
図12は、例示的コントローラ1216の機能的コンポーネントを描写する、ブロック図である。示されるように、コントローラ1216は、ユーザインターフェースコンポーネント1250と、同期モジュール1252と、電力制御モジュール1254とを含んでもよい。コントローラ1216の描写されるコンポーネントは、バイアス供給源210および励起源の両方を制御するように配置および制御されるツールコントローラにおいて中心に位置し、実装されてもよい。代替として、コントローラ1216のコンポーネントは、バイアス供給源210および励起源のそれぞれにおいて複製され、実装されてもよい。さらに、コントローラ1216のコンポーネントは、コンポーネントのうちの1つ以上のもの(例えば、ユーザインターフェース1250および電力制御モジュール1254)がツールコントローラにおいて中心に位置し、コンポーネントのうちの1つ以上のものがバイアス供給源210および励起源と密接に関連して実装されるように分散されてもよい。
図13は、本明細書に開示される実施形態と関連して考察され得る方法を描写する、フローチャートである。示されるように、RF励起源230は、第1の処理ステップ(ブロック1300)の間に電力を処理チャンバ10に印加するために使用され、バイアス供給源210は、第1の処理ステップ(ブロック1302)の間に非対称周期電圧波形を基板支持体に印加し、基板50とプラズマ15との間に所望のシース電圧を生じるために使用される。したがって、RF励起源230およびバイアス供給源210への電力の印加は、同期される。加えて、RF励起源230およびバイアス供給源210は、第1の処理ステップに続く第2の処理ステップの間に同期される。より具体的には、励起電力(例えば、RF電力)が、第2の処理ステップ1304(ブロック1304)の間に処理チャンバ10に印加され、異なる非対称周期電圧波形が、第2の処理ステップ(ブロック1306)の間に基板支持体に(バイアス供給源210によって)印加され、基板とプラズマとの間に第2のプラズマシース電圧を生じる。図13に描写される2つのステップは、単に、同期され得る一連の複数の処理ステップのうちのいずれか2つの例示であることを認識されたい。
次に図14-17を参照すると、示されるものは、RF励起源230によって印加され得る例示的源電圧およびバイアス供給源210によって生じ得る例示的シース電圧(バイアス電圧とも称される)である。より具体的には、図14-17のそれぞれに示されるものは、第1の処理ステップおよび第2の処理ステップの間に生じ得る励起源電圧およびシース電圧である。図14-17の励起源およびシース電圧の描写される組み合わせは、例示にすぎず、図14-17の描写される源電圧のうちのいずれか1つが、図14-17に描写されるシース電圧のうちのいずれか1つと同期して、第1のステップまたは第2のステップのうちのいずれか1つ以上のものの間に印加され得ることを認識されたい。また、2つの処理ステップは、概して、固定される、または変動するデューティサイクルで経時的に繰り返され得る2つ以上の処理ステップを描写することを認識されたい。対称電圧(例えば、正弦波)電圧を基板に印加し、イオンエネルギーを修正する(制御できない時変シース電圧をもたらす)従来のアプローチと対照的に、(例えば、図7B、8B、9B、および10Bに示されるようなバイアス供給源210から出力される)周期非対称電圧関数の印加は、一定のシース電圧および/または所定の所望される様式で変動する非一定のシース電圧を可能にする。
第1のステップの間、第2のステップの間、または第1のステップおよび第2のステップの両方の間にバイアス供給源によって出力される周期電圧波形は、所望のシース電圧、したがって、イオンエネルギーの所望の分布を取得するために、経時的に修正され得ることを認識されたい。例えば、処理ステップのうちの一方または両方の間、具体的振幅におけるシース電圧パルスの相対数は、具体的振幅に対応するイオンエネルギーにおける相対的イオン分率を決定するであろう。これは、2つのイオンエネルギーピークをもたらす、1:1比の異なる振幅のシース電圧パルスが存在する、図8Aおよび6Cを参照して上記に説明される。さらに、ウエハ電圧(シース電圧とも称される)は、(2つのエネルギーピークにおいて所望のイオン分率を生じるために、いくつかのより低い振幅のシースパルスに対してより高い振幅においてより大きい数のシースパルスを印加するプロセスを詳述する)第US9,287,086号の図9A-9Cを参照して説明されるように、異なる振幅を伴う1つを上回るエネルギーピークを有するイオンエネルギー分布関数を生成するために、異なる振幅において変調されることができる。第US9,287,086号は、参照することによって本明細書に組み込まれる。
別の実施例(図14-17を参照して本明細書にさらに説明される)として、(バイアス供給源210によって出力される)周期電圧関数の負の電圧ランプは、基板の表面上に衝突するイオン電流を補償不足にする、補償する、または補償過剰にするために、第1および第2の処理ステップのそれぞれの間(および/またはその中で)、(図9A-10Bを参照して説明されるように)修正されてもよい。図9Aおよび10Aに描写されるように、バイアス供給源がイオン電流を補償不足にするか、または補償過剰にするかのいずれかである場合、シース電圧は、イオンエネルギー値の比較的に広い分布をもたらす値の範囲を有するであろう。図14-17では、潜在的シース電圧の範囲は、第1のステップおよび第2のステップにおいて、それぞれ、シース電圧範囲1420および1422として描写される。ある範囲のシース電圧を付与することは、要求されないが、いくつかの処理ステップでは、主イオンエネルギーを中心として配置されるある範囲のイオンエネルギーでイオンを基板上に衝突させることが、望ましく、したがって、いくつかの実装では、イオン電流は、図9Aおよび10Aに示されるように、補償過剰(または不足)にされる。複数のシース電圧(範囲1420および1422内に示されるような)を達成することに対する別のアプローチは、例えば、図8Bに示されるように、基板支持体に印加される周期電圧波形の負の電圧スイングを変調することである。さらに、任意のイオンエネルギーにおけるイオンのフラックスは、プラズマの密度、したがって、イオンフラックスを低減させるために、RF励起源をパルス化することによって修正されてもよい。
図14を参照すると、示されるものは、RF励起源230によって印加され得る源電圧(図14の上部に示される)およびバイアス供給源210によってもたらされ得るシース電圧(図14の底部に示される)のタイミングチャートであり、RF励起源230のパルス化が、ピーク間シース電圧の変化とともに使用される。図14(および図15-17)の時間スケールは、図7A-8Bの時間スケールと異なることを認識されたい。例えば、図7Aおよび8Aのシース電圧(およびバイアス供給源から出力される図7Bおよび8Bの対応する電圧)は、2.5マイクロ秒のサイクル時間で繰り返され得る。また、対照的に、第1および第2のステップ(およびTS1、TB1、およびTB2)は、0.1以上のミリ秒(例えば、0.1~20ミリ秒)であり得、したがって、図14のシース電圧は、第1のステップおよび第2のステップのそれぞれの間(およびTS1、TB1、およびTB2の間)、400以上の回数(例えば、250~8,000回)循環し得る。しかし、明確化のために、変動するシース波形(図7Aおよび8Aに示される)の詳細は、図14-17のシース電圧に示されない。代わりに、シース電圧が、時間の65%~95%(例えば、時間の80%)に存在する際、シース電圧(VB1およびVB2)が、図14-17に描写され、すなわち、シース電圧において周期的上昇(図7Aおよび8Aの時間t2の間に描写される)を伴わない。
図14に描写される例示的動作モードでは、シース電圧プロファイルは、短い介在時間TB3を伴う、2つのピーク電圧振幅、すなわち、周期TB1にわたるVB1および周期TB2にわたるVB2の間に段階的に示される。描写される介在時間TB3の間のシース電圧の大きさの降下は、第1および第2の処理ステップの間の遷移の間に実行され得る随意の降下である。例えば、処理ステップ1および2の間の(プラズマ処理チャンバ10に提供される)ガスが変更される場合、バイアス供給源によって印加される電力を低減または除去することが、望ましくあり得るが、これは、要求されず、描写される時間TB3は、シース電圧がVB1からVB2に直接変化するように、長さがゼロ秒であってもよい。第1のステップおよび第2のステップの時間は、ほぼ同一であるように描写されるが、これは、必ずしも要求されず、いくつかの事例では、他方の処理ステップよりも実質的に短い一方の処理ステップを有することが、有益であることを認識されたい。
図14に描写される実施例に示されるように、源電圧は、第2のステップの間にVB2サイクルと同期してパルス化され、第1のステップのVB1サイクルの間に連続的である。VB2サイクルの間、プラズマ化学は、イオン、ラジカル、および中性原子または分子を含む対応するプラズマ化学を伴うプラズマチャンバ10の動作条件によって決定されるレベルまでイオン化されるであろう。VB2サイクルは、VB1サイクルの間よりも低い(基板とプラズマとの間の)シース電圧を確認し、結果として、VB2サイクルは、VB1サイクルにおいて確認されるものを上回るイオンと電子との比率を確認する化学に対応し得る(バイアス電圧がオフにされるか、または低下されるかのいずれかであるとき、イオン密度および電子温度が異なる速度で崩壊するであろう図4に再び目を向けられたい)。源パルス化は、TS2のオン周期と、TS3のオフ周期とを有する。第2のステップの間、プラズマの電子温度は、より低く、これは、プラズマ内の化学の破壊をあまりもたらさない。
図14に描写される源およびバイアス電圧に関する実践的実施例は、VB1サイクルの間にフッ化炭素CxFy、Ar、およびOを使用することを含み、プラズマ化学は、フッ素がより豊富である。より高いシース電圧(VB1)と組み合わせられると、より速いエッチング速度が、第1のステップの間にもたらされる。シース電圧VB1は、エッチングするとき、実施され得るエッチングのタイプに応じて、変動し得る。概して、シース電圧VB1の大きさは、1,000Vを上回り(例えば、1,500V)、これは、いくつかの導体エッチング用途に関して典型的であり得る一方、いくつかの導体エッチングはまた、より高い、またはより低い値を要求し得る。誘電エッチングのいくつかの用途に関して、VB1は、6,000Vまたはそれよりも高くあり得る。しかし、シース電圧VB1が、20,000Vまたはそれよりも高くあり得ることが検討される。ダイヤモンド様炭素(DLC)および類似するフィルムのために使用されるもの等の堆積プロセスは、典型的には、実施例として、200~500ボルトの1,000ボルト未満のシース電圧を要求する。他のDLCプロセスおよび他のフィルムタイプに関するものは、これらの値よりも高いまたは低いシース電圧を要求してもよい。
第2のステップのVB2サイクルでは、CxFy、Ar、およびO実施例において、化学は、より重合し、CzFが豊富であり得、より低いシース電圧VB2と組み合わせられ、より多くの側壁ポリマー堆積が、もたらされる。重合の間、シース電圧VB2は、1,000Vまたはそれ未満(例えば、500V~1,000V)であり得、いくつかの用途では、VB2は、500Vまたはそれ未満(例えば、100V~500V)であり得、さらに他の用途では、VB2は、15V~100V(例えば、20V)であり得る。これらのサイクルは、示されるように交互になる。位相タイミングおよびシース電圧の選択肢は、(高バイアス電圧から)基板またはプロセスハードウェアを過熱しないように要求されるデューティサイクルと、最適なエッチング速度およびエッチング特徴プロファイルとの間の平衡に基づいてもよい。ウエハに印加されるバイアス電力に対する約50%デューティサイクルに関するタイミングおよびバイアス電圧の実施例は、TB3および第1および第2のステップに関して10ミリ秒であり得、TB3は、5マイクロ秒未満であり得、VB1は、1,500Vにあり得、VB2は、20Vにあり得、TS2およびTS3は、それぞれ、1ミリ秒においてほぼ等しくあり得る。異なる化学およびプロセス結果は、TB1およびTB2の比が、それぞれ、5:95から95:5に変動することを認め得る。引用されるCxFy、Ar、およびO実施例は、異方性エッチングのためのものであるが、本技法は、他のエッチングプロファイルおよび化学システムに適用され、また、シース電圧を用いて大いに解離されるプラズマ化学およびより低いシース電圧を用いてあまり解離されないプラズマ化学の周期的処理ステップを所望する、堆積および表面処理のために使用されることができる。
別の言い方をすれば、VB1およびVB2のサイクルは、シース電圧の変化を示すが、いかなる「オフ」タイムフレームも存在せず、むしろ、バイアス電圧VB2がVB1未満であるが、非ゼロであるタイムフレームが存在する。したがって、処理ステップを変化させることは、シース電圧の完全電源オフを要求せず、シース電圧のいかなる低下も、プラマ化学に影響を及ぼすであろう。さらに、シース電圧は、処理ステップ毎に変化するが、いくつかの実施形態では、2つ以上の連続的処理ステップが、RF励起がパルス化される前に実行されてもよい。別の「ノブ」は、所与のシース電圧におけるいくつかの連続的パルス対別のシース電圧におけるいくつかの連続的パルスを調節することを含む。代替として、他のパルスパターンが、使用されることができるが、化学は、VB1対VB2においてパルスが存在する平均時間量を制御することによって制御される。同時に、これらの変形例は全て、源のパルス化と同期されることができる。例えば、RF励起源は、シース電圧がVB2にあるときは常にパルス化されてもよく、バイアスパルスがVB1にあるときは常に連続的であってもよい。
源発生器(例えば、RF発生器)をパルス化し、より重合するプラズマ化学を発生させ、接点の側壁およびウエハ上にエッチングされているトレンチの保護を補助することが、有利であり得ることが説明された。バイアス供給源210を利用する側壁重合のためのさらなる強化は、重合モードにおいて(例えば、図14の第2のステップの間に)2つのシース電圧ピークを生じ、図6Cに図示されるようなイオンエネルギーの分布における2つのピークを生じるようにバイアス供給源210をプログラムすることである。図8Aおよび8Bを参照して議論されるように、イオンエネルギーの分布における2つのピーク(およびシース電圧における対応するピーク)を生じるために、(バイアス供給源によって印加される)周期電圧関数の負の電圧スイングの大きさが、(図8Bに示されるような)2つのレベルの間で交互になり得る。例えば、第2のステップの間に(バイアス供給源210によって出力される)周期電圧関数の1,000サイクルが存在する場合、500サイクルは、より小さい負の電圧スイングを有し得、500の他のサイクルは、より大きい負の電圧スイングを有し得る。結果として生じる異なるエネルギーのイオンは、相互に衝突し、接触孔またはトレンチ等のエッチング特徴の側壁上に散乱するより高い確率を有するであろう。
また、2つのピークのうちの一方(または両方)が、(単一エネルギーピークと対照的に)特定の範囲のイオンエネルギーを有することが有益であることが検討される。上記に議論されるように、これは、もたらされたイオンエネルギーに対応する周期電圧波形のサイクルの(負の電圧ランプの勾配を変化させることによって)イオン電流を補償不足または補償過剰にすることによって達成され得る。
図15は、別の「ノブ」が源電圧の形態において導入される変形例を図示する。パルス化の間、RF電圧は、低減される。代替として、低RF電圧が、シース電圧がより低いVB2電圧にあるときは常に印加され得る。
図16は、源のパルス化がより高いシース電圧の間に起こる(例えば、RF励起源がVB1サイクルの間にパルス化される)さらに別の変形例を図示する。
図17は、RF励起源がVB1サイクルおよびVB2サイクルの両方の間にパルス化されるが、それらの処理ステップ毎に異なるデューティを伴う、本開示の代替実施形態を図示する。具体的には、RF励起源は、VB1サイクルの間により長いデューティサイクルでパルス化され、VB2サイクルの間により短いデューティサイクルでパルス化される。しかし、逆の対応物もまた、実装され得る。さらに、本パルスパターンは、バイアスパルスパターンに同期される源電圧の変化と組み合わせられ得る。
本開示の実施形態は、複数のバイアス供給源および/または複数のRF源を含むシステム、方法、および装置と組み合わせられてもよく、これらの源はそれぞれ、明確に異なる電極をバイアスする。例えば、第1のバイアス供給源は、基板の下の基板電極をバイアスし得る一方、第2のバイアス供給源は、基板電極と接触しないが、その周囲に同心円状に配列されるリング電極をバイアスする。別の実施例では、2つのRF源が、使用され得、それぞれ、チャンバの上部(または基板から対向するチャンバの側)の近傍に配列される独立した電極にRF電力を提供する。ある場合には、バイアス供給源および対応する電極の2つ以上の対、およびRF源および対応する電極の2つ以上の対が、実装されてもよい。これらのシナリオのうちのいずれかでは、1つ以上のRF源および1つ以上のバイアス供給源のパルス化、およびパルス間の電圧の変化が、同期されることができる。いくつかの実施形態では、第1のバイアス供給源および第1のRF源が、同期され得る一方、第2のバイアス供給源および第2のRF源が、同期される。別の事例では、第1のバイアス供給源が、第1および第2のRF源と同期されることができる。さらに別の事例では、第1のRF源が、第1および第2のバイアス供給源と同期されることができる。しかし、これらの概念は、2つのバイアス供給源またはRF源に限定されない。むしろ、多くの源が、使用されることができ、例えば、プラズマ密度の複雑な領域特有の制御(例えば、プラズマ密度均一性を達成する目的のため)が、所望される。また、源の数は、電極の数と合致する必要はないことを理解されたい。例えば、2つの非限定的実施例を与えるために、4つのRF源が、3つの電極を駆動することができる、または2つのRF源が、5つの電極を駆動することができる。さらに、各源は、対応する整合ネットワークを有してもよい、または単一の整合ネットワークが、2つ以上の源に結合され、それをインピーダンス整合してもよい。2つ以上の電極が1つ以上のバイアス供給源に結合される場合、これらの電極は、対称(例えば、同心リング)または非対称(例えば、基板および/またはチャンバにおける非対称性を考慮するため)であり得る。
さらに、2つ以上のバイアス供給源が実装される場合、各バイアス供給源は、局在化イオン電流(したがって、イオンエネルギーおよびイオン密度)および局在化シース静電容量を決定するために使用されることができる。
イオン電流Iが、以下として与えられ得る。
Figure 2023075173000002
シース静電容量Cが、以下として与えられ得る。
Figure 2023075173000003
複数のバイアス供給源およびチャンバ内の異なる場所におけるイオン電流、したがって、イオン密度を測定するそれらの対応する能力は、バイアス供給源および/またはRF源のためのフィードバックとして利用されることができる。代替として、または加えて、本フィードバックは、処理チャンバまたは源の任意の電気的および/または機械的特徴を制御するために使用されることができる。代替として、または加えて、本フィードバックは、以下のうちのいずれか1つ以上のもの、すなわち、処理チャンバの磁石(例えば、プラズマを閉じ込める、または成形するために使用される磁石、またはマイクロ波プラズマ源を介して発生されたイオンを指向するために使用される磁石)、圧力制御(例えば、圧力弁)、質量流量制御、ガス流量制御、ガス化学制御、およびチャンバまたはその中のコンポーネントの物理的幾何学形状(例えば、接地されたシリコンキャップまたは蓋の垂直移動)を制御するために使用されることができる。種々の公知のRF源(例えば、容量、誘導、マイクロ波等)の詳細な説明は、これらが当技術分野で周知であるため、ここでは適切ではないことをさらに理解されたい。しかし、本明細書に説明されるフィードバックおよび同期は、任意の公知のRF源に適用可能である。
2つ以上のバイアス供給源が実装されるいくつかの実施形態では、これらのバイアス供給源によってバイアスされる電極のうちの1つ以上のものは、基板の下に、またはその近位に配列され、それによって、主として、基板上に所望のバイアスをもたらし得る一方、1つ以上の付加的バイアス供給源によってバイアスされる1つ以上の付加的電極は、基板から離れるように配列され得、したがって、それらは、局部的プラズマ密度に影響を及ぼすが、存在する場合、基板バイアスに対してあまり影響を及ぼさない。
RF源とバイアス供給源との間の同期は、バイアス供給源によって出力される所与のパルス(図7Bおよび8Bに描写されるパルス等)の終了時に電圧を低下させる、または電圧を遮断することを伴い得る。言い換えると、バイアス供給源パルスの最中にパルスを終了することを回避することが、望ましくあり得る。代替として、電圧のパルス化または周期的低減は、異なるパルスを別にして、バイアス供給源パルスにおける同一の点/位相において開始および終了してもよい。言い換えると、エンベロープパルスが個々のバイアス供給源パルスの開始または終了と同位相であるかどうかにかかわらず、整数のバイアス供給源パルスに等しい長さのパルスを設定することが、望ましくあり得る。
ある実施形態では、基板をバイアスするために、基板の下または近傍に配列される2つの電極が、複数のバイアス供給源から駆動されてもよいが、2つ以上のバイアス供給源は、単一のDC供給源から電力を受け取る。ある実施形態では、1つ以上のバイアス供給源が、チャンバ内の基準基板を用いて、またはいかなる基板も伴わず、イオン密度、シース静電容量、または他のチャンバパラメータを測定するために使用され得る。1つ以上の処理工程が、実行され得、次いで、測定は、繰り返されることができる。このように、チャンバの変化が、監視されることができる。
前述で説明される実施形態は、他の使用事例の中でもとりわけ、積層フィルムを生成するための新規かつ非自明なシステムおよび方法を提供する。プラズマ処理を用いて堆積されると、フィルムの剥離をもたらし得る非常に高い応力を有する、ダイヤモンド様炭素等の実施例が、ここで、フィルム全体が、依然として、より低い応力においてもダイヤモンド様炭素性質を呈するように、低応力黒鉛または無定形炭素層を組み込むように処理されることができる。いくつかのフィルムでは、1つの周期においてフィルムを堆積させ、プラズマ化学がパルス化制御によって修正され、高バイアスがフィルムを高密度化するために印加される周期が続くことが、望ましくあり得る。本明細書に開示される実施形態は、前述で例証されるように、各個別の周期においてパルス化およびバイアス電圧制御を組み合わせることによって生じる異なる光学性質を伴う代替層を含む、ナノレベル「ブラッグ」構造の生産を可能にする。換言すると、第1の化学が、第1の層を堆積させるための第1の処理ステップにわたって(例えば、第1の時間周期の間に)達成されることができ、次いで、第2の化学が、第2の層を堆積させるための第2の処理ステップの間に(第2の時間周期にわたって)達成されることができる。これは、「ブラッグ」構造を達成するために複数回繰り返されることができる。異なる化学が、シース電圧、2つ以上のバイアス電圧のデューティサイクル、シース電圧のタイミングの改変、TB1、TB2、およびTB3、RF源パルス化、源パルス化のデューティサイクル、源電圧、および組み合わせにおける源電圧およびパルス化のうちの1つ以上のものの変動によって達成されることができる。
本明細書に開示される実施形態と関連して説明される方法は、ハードウェアによって、非一過性有形プロセッサ可読記憶媒体内でエンコードされるプロセッサ実行可能コードにおいて、または2つの組み合わせにおいて実装されてもよい。例えば、図18を参照すると、示されるものは、例示的実施形態による、上記に説明されるDC電力供給源ユニット(例えば、220)、バイアス供給源(例えば、バイアス供給源210)、および/またはRF源(例えば、RF励起源230)を(部分的に)実現するために利用され得る物理的コンポーネントを描写する、ブロック図である。示されるように、本実施形態では、ディスプレイ部分1812および不揮発性メモリ1820が、ランダムアクセスメモリ(「RAM」)1824、処理部分(N個の処理コンポーネントを含む)1826、随意のフィールドプログラマブルゲートアレイ(FPGA)1827、およびN個の送受信機を含む送受信機コンポーネント1828にもまた結合される、バス1822に結合される。図18に描写されるコンポーネントは、物理的コンポーネントを表すが、図18は、詳細なハードウェア図であることを意図しておらず、したがって、図18に描写されるコンポーネントの多くは、共通構造によって実現される、または付加的物理的コンポーネントの間で分散されてもよい。さらに、他の既存および未開発の物理的コンポーネントおよびアーキテクチャも、図18を参照して説明される機能的コンポーネントを実装するために利用され得ることが検討される。
本ディスプレイ部分1812は、概して、ユーザのためのユーザインターフェースを提供するように動作し、いくつかの実装では、ディスプレイは、タッチスクリーンディスプレイによって実現される。一般に、不揮発性メモリ1820は、データおよび(本明細書に説明される方法をもたらすことと関連付けられる実行可能コードを含む)プロセッサ実行可能コードを記憶する(例えば、持続的に記憶する)ように機能する、非一過性メモリである。例えば、いくつかの実施形態では、不揮発性メモリ1820は、ブートローダコード、オペレーティングシステムコード、ファイルシステムコード、および励起源をバイアス供給源の周期非対称電圧波形と同期してパルス化する方法の実行を促進するための非一過性プロセッサ実行可能コードを含む。例えば、図13に描写される制御方法(および図14-17を参照して説明される変形例)は、部分的に、不揮発性メモリ1820内に記憶されるプロセッサ実行可能コードによって実装されてもよい。
多くの実装では、不揮発性メモリ1820は、フラッシュメモリ(例えば、NANDまたはONENANDメモリ)によって実現されるが、他のメモリタイプも、同様に利用され得ることが検討される。不揮発性メモリ1820からのコードを実行することが可能であり得るが、不揮発性メモリ内の実行可能コードは、典型的には、RAM1824にロードされ、処理部分1826内のN個の処理コンポーネントのうちの1つ以上のものによって実行される。
RAM1824と関連するN個の処理コンポーネントは、概して、不揮発性メモリ1820内に記憶される命令を実行し、励起源およびバイアス供給源の同期パルス化を可能にするように動作する。例えば、励起源出力およびバイアス供給源出力の1つ以上の特性を同期してパルス化し、変化させる方法をもたらすための非一過性プロセッサ実行可能コードは、不揮発性メモリ1820内に持続的に記憶され、RAM1824と関連してN個の処理コンポーネントによって実行されてもよい。当業者が理解するであろうように、処理部分1826は、ビデオプロセッサ、デジタル信号プロセッサ(DSP)、マイクロコントローラ、グラフィックス処理ユニット(GPU)、または他のハードウェア処理コンポーネントまたはハードウェアおよびソフトウェア処理コンポーネントの組み合わせ(例えば、FPGAまたはデジタル論理処理部分を含むFPGA)を含んでもよい。
加えて、または代替では、処理部分1826は、本明細書に説明される方法論(例えば、励起およびシース電圧の電力の側面を同期してパルス化し、変化させる方法)の1つ以上の側面をもたらすように構成されてもよい。例えば、非一過性プロセッサ可読命令は、不揮発性メモリ1820内またはRAM1824内に記憶され、処理部分1826上で実行されると、処理部分1826に、励起およびバイアス供給源の電力(例えば、電圧および/または電流)の側面を同期してパルス化し、変化させる方法を実施させてもよい。代替として、非一過性FPGA構成命令は、不揮発性メモリ1820内に持続的に記憶され、本明細書に開示される機能(例えば、本明細書にさらに説明されるコントローラ1216およびコントローラ2460の機能)をもたらすように処理部分1826のハードウェア構成可能部分を構成するために(例えば、起動の間に)処理部分1826によってアクセスされてもよい。
入力コンポーネント1830は、励起源とバイアス供給源との間の同期された制御の1つ以上の側面を示す信号(例えば、ケーブル260上の同期信号または通信ケーブル250上の電力制御およびデータ信号)を受信するように動作する。入力コンポーネントにおいて受信される信号は、例えば、ケーブル260上の同期信号、通信ケーブル250上の電力制御およびデータ信号、またはユーザインターフェースからの制御信号を含んでもよい。出力コンポーネントは、概して、1つ以上のアナログまたはデジタル信号を提供し、RF源とバイアス供給源との間の同期の動作側面をもたらすように動作する。例えば、出力部分1832は、図11を参照して説明されるバイアス供給源210とRF源230との間の同期信号を提供してもよい。
描写される送受信機コンポーネント1828は、無線または有線ネットワークを介して外部デバイスと通信するために使用され得る、N個の送受信機チェーンを含む。N個の送受信機チェーンはそれぞれ、特定の通信スキーム(例えば、WiFi、イーサネット(登録商標)、Profibus等)と関連付けられる送受信機を表してもよい。
次に図19を参照すると、示されるものは、バイアス供給源210を実現するために使用され得る例示的バイアス供給源1902の一般的表現である。示されるように、バイアス供給源1902は、3つの電圧V1、V2、およびV3を利用する。明確化のために示されないが、2つのスイッチS1およびS2は、下記に開示されるように、スイッチコントローラがスイッチS1、S2を開および閉にすることを可能にするために、電気または光学接続を介してスイッチコントローラによって制御され得る。描写されるスイッチS1、S2は、単極、単投、常時開スイッチによって実現されてもよく、非限定的実施例として、スイッチS1、S2は、絶縁ゲートバイポーラトランジスタ(IGBT)によって実現されてもよい。
本実装では、電圧V1、V2、およびV3は、DC源電圧であってもよい。示されるように、第1のスイッチS1は、第1の電圧V1を(S1およびS2に共通である)共通ノード1970に切替可能に接続するように配置され、第2のスイッチS2は、第2の電圧V2を共通ノード1970に切替可能に結合するように配置される。加えて、第1の誘導要素L1が、共通ノードと出力ノードVoutとの間に配置される。
図19を参照しながら、1)Voutにおいて出力されるバイアス供給源802の非対称電圧波形、2)対応するシース電圧、および3)スイッチS1およびS2の対応するスイッチ位置を描写する、図20が、同時に参照される。動作時、第1のスイッチS1は、電圧波形の第1の部分2060(電圧V0とVaとの間)に沿って、出力ノードVoutにおける電圧のレベルを、波形の第2の部分2062に沿って維持される第1の電圧レベルVaまで増加させるために閉にされ、次いで、第1のスイッチS1は、開にされる。第2のスイッチS2は、次いで、波形の第3の部分2064に沿って、出力ノードVoutにおける電圧波形のレベルを、第2の電圧レベルVbまで減少させるために閉にされ、次いで、第2のスイッチS2は、開にされ、したがって、S1およびS2は、開である。示されるように、第3の部分2064に沿った負の電圧スイングは、シース電圧(Vsheath)に影響を及ぼし、したがって、Vbの大きさは、Voutに結合される電極面に近接近するシース電圧に影響を及ぼすように制御され得る。これに関して当業者は、VbがV1を制御することによって制御可能であるが、VbがインダクタL1の影響によってV1に等しくないことを理解するであろう。
本実施形態では、第1および第2のスイッチS1、S2が開である間、第3の電圧V3は、第2の誘導要素L2を通して出力ノードVoutに印加され、電圧波形の第4の部分2066に沿って、出力ノードにおける電圧のレベルをさらに減少させる。図20に示されるように、第4の部分2066に沿った負の電圧ランプは、基板に衝突するイオンを補償することによって、シース電圧を維持するために確立され得る。
したがって、S1は、第1の誘導要素L1を通して、第1の電圧V1を出力Voutに瞬間的に接続し、次いで、接続解除し、ある時間周期後、S2は、第1の誘導要素L1を通して、第2の電圧(例えば、接地)を出力Voutに接続し、次いで、接続解除する。第3の電圧V3は、第2の誘導要素L2を通して、出力Voutに結合される。本実装では、第1の電圧V1は、第3の電圧V3よりも高くあり得、出力Voutへの第1の電圧V1の瞬間的接続および接続解除は、出力Voutの電圧を、電圧波形の第1の部分2060に沿って、第1の電圧レベルVaまで増加させ、第1の電圧レベルVaは、波形2062の第2の部分に沿って持続される。第1の電圧レベルVaは、第1の電圧V1を上回り得、第2の電圧V2(例えば、接地)は、第1の電圧レベルVa未満であり得る。第2の電圧V2の瞬間的接続、次いで、接続解除は、出力の電圧を、第3の部分864において、第2の電圧V2(例えば、接地)を下回る第2の電圧レベルVbまで減少させる。
実施例として、図21に示されるように、V1は、-2,000VDCであり得、V2は、接地であり得、V3は、-5,000VDCであり得、V0は、-7,000VDCであり得、Vbは、-3,000VDCであり得、Vaは、3,000VDCであり得る。しかし、これらの電圧は、単に、図19および20を参照して説明される電圧の相対的大きさおよび極性に文脈を提供するための例示である。
次に図22A-22Cを参照すると、示されるものは、図19に描写される電圧V1、V2、およびV3を提供するための2つのDC電圧源の可能性として考えられる配列である。図22Aでは、V2は、接地され、2つのDC電圧源の間の共通ノードを形成する。図22Bでは、V1は、接地され、V2は、DC電圧源の間の共通ノードを形成する。また、図22Cでは、V1は、接地され、2つのDC電圧源のそれぞれの間の共通ノードを形成する。
いくつかの実施形態では、図23A、23B、および23Cに示されるように、3つのDC電圧源は、3つの電圧V1、V2、およびV3を印加するために利用されてもよい。図23Aに示されるように、3つのDC電圧源はそれぞれ、接地に結合されてもよく、3つのDC電圧源はそれぞれ、V1、V2、V3のうちの対応するものを提供する。図23Bでは、DC電圧源のうちの1つは、接地され、3つのDC電圧源は、直列に配列される。図23Cでは、DC電圧源のうちの1つは、接地とV2との間に配置され、DC電圧源はそれぞれ、V2に結合される。
次に図24を参照すると、示されるものは、バイアス供給源210、702を実現するために使用され得る例示的バイアス供給源2402である。示されるように、バイアス供給源2402は、第1の電圧V1、第2の電圧V2、および第3の電圧V3を提供するために、スイッチコントローラ2404と、2つの電圧源とを含む。明確化のために示されないが、2つのスイッチS1およびS2は、下記に開示されるように、スイッチコントローラ2404がスイッチS1、S2を開および閉にすることを可能にするために、(例えば、電気または光学接続を介して)スイッチコントローラ2404に結合される。描写されるスイッチS1、S2は、電気または光学信号によって制御可能である単極、単投、常時開スイッチによって実現されてもよい。非限定的実施例として、スイッチS1、S2は、絶縁ゲートバイポーラトランジスタ(IGBT)によって実現されてもよい。
また、示されるものは、各バイアス供給源の筐体内で実現され得る、または集中ツールコントローラの一部として実現され得る、例示的コントローラ2460である。示されるように、コントローラ2460は、バイアス供給源の出力Voutにおけるバイアス供給源2402によって印加される電力を示す情報(例えば、電圧および/または電流情報)を受信するために結合される。示されるように、コントローラ2460はまた、コントローラ1260がバイアス供給源2402を制御すること(例えば、バイアス電極に近接するプラズマシースを制御すること)を可能にするために、スイッチコントローラ2404および2つのDC電圧源に結合される。
加えて、コントローラ2460は、バイアス供給源2402によって印加される電力の少なくとも1つの特性を測定するための監視回路2470と、監視回路2470から取得された電力の測定される特性に基づいて、プラズマ処理チャンバ100内の環境の特性を計算するように構成される、チャンバ分析コンポーネント2472とを含む。また、コントローラ2460内に示されるものは、バイアス供給源2402によって印加される電力を調節し、バイアス電極に近接するプラズマシースを制御するための制御回路2474である。図24では、コントローラ2460およびスイッチコントローラ2404は、別個の構造として描写されるが、コントローラ2460およびスイッチコントローラ2404は、統合される、および/または共通基礎コンポーネントを共有し得ることを認識されたい。例えば、コントローラ2460およびスイッチコントローラ2404は、同一のプリント回路基板上に並置されてもよい。別の実施例として、コントローラ2460およびスイッチコントローラは、図18に描写されるコンピューティングデバイスと類似する、または同一のアーキテクチャを含むシステムによって実現されてもよい。
監視回路2470は、指向性結合器、V-Iセンサ、位相および利得センサ、電圧センサ、および電流センサ等の1つ以上のセンサを含んでもよい。当業者は、電力の測定される特性が、電圧、電流、位相、および電力を含み得ることを理解するであろう。加えて、監視回路2470は、センサからのアナログ信号を電力の測定される特性のデジタル表現に変換するために、アナログ/デジタル変換コンポーネントを含んでもよい。他の実装では、センサは、コントローラ2460と別個であり、監視回路2470は、センサからのアナログ信号を電力の測定される特性のデジタル表現に変換するために、アナログ/デジタル変換コンポーネントを含む。さらに他の実装では、センサは、感知要素と、アナログ/デジタル変換コンポーネントとを含み、監視回路2470は、電力の特性のデジタル表現を受信してもよい。プラズマ処理チャンバの環境の1つ以上の特性の監視は、少なくとも1つのバイアス供給源によって印加される電力の少なくとも1つの特性を(監視回路2470を用いて)測定することを含んでもよい。
チャンバ分析コンポーネント2472は、概して、監視回路2470から取得された電力の測定される特性に基づいて、プラズマ処理チャンバ内の環境の特性を決定するように構成される。電力が、プラズマ処理チャンバ100の外部である場所において(監視回路2470によって)測定され得るが、測定される電力特性は、プラズマ処理チャンバ100内の環境の特性を計算するために使用されてもよい。例えば、方程式1を使用して、バイアス区域に近接する領域内のイオン電流が、C1と関連するVoutにおける電圧の測定値を使用して計算されてもよい。別の実施例として、方程式2を使用して、バイアス区域に近接する領域内のシース静電容量が、計算されてもよい。
制御回路2474は、概して、バイアス供給源によって印加される電力を調節し、プラズマ処理チャンバ100内の環境の側面を調節するように動作する。例えば、(バイアス供給源2402によって確立される)ある区域に近接するプラズマシースが、調節されてもよい、および/またはイオン電流もまた、調節されてもよい。示されるように、コントローラ2460は、DC電圧源およびスイッチコントローラ2404に結合されてもよく、したがって、図20を参照すると、コントローラ2460は、電圧Va、電圧Vb、t1、T、および第4の部分2066の勾配を調節するために使用されてもよい。図20を参照して議論されるように、バイアス供給源2402と関連付けられるバイアス区域に近接するプラズマシースの電圧は、調節されてもよい。
再び図24を参照すると、(図22Aに描写される実施形態を組み込む)本実装では、第2の電圧V2は、2つのDC電圧源に結合され、接地に結合されるノードにおいて提供されるが、(例えば、図22Bおよび22Cを参照して上記に説明される)他の実装では、第2の電圧V2は、接地される必要はない。示されるように、第1のスイッチS1は、第1の電圧V1を(S1およびS2に共通である)共通ノード770に切替可能に接続するように配置され、第2のスイッチS2は、第2の電圧V2を共通ノード1970に切替可能に結合するように配置される。加えて、第1の誘導要素L1が、共通ノードと出力ノードVoutとの間に配置される。
動作時、スイッチコントローラ2404は、電圧波形の第1の部分2060(電圧VとVaとの間)に沿って、出力ノードVoutにおける電圧のレベルを、波形の第2の部分2062に沿って維持される第1の電圧レベルVaまで増加させるために、第1のスイッチS1を閉にするように構成され、次いで、第1のスイッチS1は、開にされる。スイッチコントローラ2404は、次いで、波形の第3の部分864に沿って、出力ノードVoutにおける電圧波形のレベルを、第2の電圧レベルVbまで減少させるために、第2のスイッチS2を閉にし、次いで、スイッチコントローラ704は、第2のスイッチS2を開にし、したがって、S1およびS2は、開である。示されるように、第3の部分864に沿った負の電圧スイングは、シース電圧(Vsheath)に影響を及ぼし、したがって、Vbの大きさは、Voutに結合される電極面に近接近するシース電圧に影響を及ぼすように制御され得る。当業者は、本実装では、VbがV1を制御することによって制御可能であるが、VbがインダクタL1の影響によってV1に等しくないことを理解するであろう。
本実施形態では、第2の電圧源は、少なくとも第1および第2のスイッチS1、S2が開である間、第3の電圧V3を、第2の誘導要素L2を通して出力ノードVoutに印加し、電圧波形の第4の部分866に沿って、出力ノードにおける電圧波形のレベルをさらに減少させるためのイオン補償コンポーネントとして機能する。図20に示されるように、第4の部分2066に沿った負の電圧ランプは、基板に衝突するイオンを補償することによって、シース電圧を維持するために確立され得る。
したがって、S1は、第1の誘導要素L1を通して、第1の電圧V1を出力Voutに瞬間的に接続し、次いで、接続解除し、ある時間周期後、S2は、第1の誘導要素L1を通して、第2の電圧(例えば、接地)を出力Voutに接続し、次いで、接続解除する。第3の電圧V3は、第2の誘導要素L2を通して、出力Voutに結合される。本実装では、第1の電圧V1は、第3の電圧V3よりも高くあり得、出力Voutへの第1の電圧V1の瞬間的接続および接続解除は、出力Voutの電圧を、電圧波形の第1の部分860に沿って、第1の電圧レベルVaまで増加させ、第1の電圧レベルVaは、波形2062の第2の部分に沿って持続される。第1の電圧レベルVaは、第1の電圧V1を上回り得、第2の電圧V2(例えば、接地)は、第1の電圧レベルVa未満であり得る。第2の電圧V2の瞬間的接続、次いで、接続解除は、出力の電圧を、第3の部分2064において、第2の電圧V2(例えば、接地)を下回る第2の電圧レベルVbまで減少させる。
当業者によって理解されるであろうように、本発明の側面は、システム、方法、またはコンピュータプログラム製品として具現化されてもよい。故に、本発明の側面は、完全にハードウェアの実施形態、完全にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)、または全て、概して、本明細書では「回路」、「モジュール」、または「システム」と称され得るソフトウェアおよびハードウェア側面を組み合わせる実施形態の形態をとってもよい。さらに、本発明の側面は、その上で具現化されるコンピュータ可読プログラムコードを有する1つ以上のコンピュータ可読媒体において具現化されるコンピュータプログラム製品の形態をとってもよい。
本明細書に使用されるように、「A、B、またはCのうちの少なくとも1つ」の列挙は、「A、B、Cのいずれか、またはA、B、およびCの任意の組み合わせ」を意味することを意図している。開示される実施形態の前述の説明は、当業者が本開示を作製または使用することを可能にするために提供される。これらの実施形態の種々の修正が、当業者に容易に明白となり、本明細書に定義される一般的原理は、本開示の精神または範囲から逸脱することなく、他の実施形態に適用され得る。したがって、本開示は、本明細書に示される実施形態に限定されることを意図しておらず、本明細書に開示される原理および新規の特徴と一貫する最も広い範囲を与えられるものである。

Claims (15)

  1. プラズマ処理のための方法であって、前記方法は、
    第1の処理ステップの間に励起源を用いて第1のデューティサイクルでパルス化電力をプラズマ処理チャンバに印加することと、
    前記第1の処理ステップの間にバイアス供給源を用いて非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じることと、
    第2の処理ステップの間に前記励起源を用いて第2のデューティサイクルでパルス化電力を前記プラズマ処理チャンバに印加することであって、前記第2の処理ステップは、前記第1の処理ステップに続き、前記第2のデューティサイクルは、前記第1のデューティサイクルとは異なる、ことと、
    前記第2の処理ステップの間に前記バイアス供給源を用いて異なる非対称周期電圧波形を前記基板支持体に印加し、前記基板と前記プラズマとの間に異なるプラズマシース電圧を生じることと
    を含む、方法。
  2. 前記第1のデューティサイクルは、前記第2のデューティサイクルよりも長く、
    前記第2の処理ステップの間の前記シース電圧の大きさは、前記第1の処理ステップの間の前記シース電圧の大きさよりも小さい、請求項1に記載の方法。
  3. 前記第2の処理ステップの間の前記パルス化電力の電圧は、前記第1の処理ステップの間の前記パルス化電力の電圧よりも低い、請求項1に記載の方法。
  4. 前記処理ステップのうちの一方または両方の間に前記異なるプラズマシース電圧波形を発生させることは、ある範囲のシース電圧を発生させることを含む、請求項1に記載の方法。
  5. 前記範囲のシース電圧を発生させることは、前記基板の表面に衝突するイオンのイオン電流を上回るか、または下回るかの少なくとも一方である電流を前記基板のための支持体に提供することを含む、請求項4に記載の方法。
  6. プラズマ処理システムであって、前記プラズマ処理システムは、
    バイアス供給源であって、前記バイアス供給源は、基板支持体への非対称周期電圧波形を印加および修正し、プラズマ処理チャンバ内で前記プラズマと基板との間のプラズマシース電圧を修正するように構成される、バイアス供給源と、
    少なくとも1つのコントローラであって、前記少なくとも1つのコントローラは、
    前記励起源が第1のデューティサイクルでパルス化電力を生じているときに、第1の処理ステップの間に前記バイアス供給源を励起源と同期させることにより、プラズマシース電圧を生じることと、
    前記励起源が第2のデューティサイクルでパルス化電力を生じているときに、第2の処理ステップの間に前記バイアス供給源を前記励起源と同期させ、前記非対称周期電圧波形を印加することにより、前記第2の処理ステップの間に異なる大きさの前記プラズマシース電圧を生じることと
    を行うように構成される、少なくとも1つのコントローラと
    を備える、プラズマ処理システム。
  7. 前記少なくとも1つのコントローラは、
    前記第1のデューティサイクルが前記第2のデューティサイクルよりも長いように前記励起源を制御することと、
    前記第2の処理ステップの間の前記プラズマシース電圧の大きさが前記第1の処理ステップの間の前記プラズマシース電圧の大きさよりも小さいように前記バイアス供給源を制御することと
    を行うように構成される、請求項6に記載のプラズマ処理システム。
  8. 前記少なくとも1つのコントローラは、前記第1および第2の処理ステップのうちの一方または両方の間に、ある範囲のプラズマシース電圧を生じるように前記バイアス供給源を制御するように構成される、請求項6に記載のプラズマ処理システム。
  9. 前記少なくとも1つのコントローラは、前記基板の表面に衝突するイオンのイオン電流を上回るか、または下回るかの少なくとも一方である電流を前記基板のための支持体に提供し、前記範囲のプラズマシース電圧を生じるように前記バイアス供給源を制御するように構成される、請求項8に記載のプラズマ処理システム。
  10. 前記少なくとも1つのコントローラは、プロセッサまたはフィールドプログラマブルゲートアレイのうちの少なくとも1つを含み、前記少なくとも1つのコントローラは、非一過性コンピュータ可読媒体を含み、前記非一過性コンピュータ可読媒体は、前記プロセッサによる実行のために、または前記フィールドプログラマブルゲートアレイを構成するために、前記バイアス供給源を制御するためのその上に記憶される命令を備える、請求項6に記載のプラズマ処理システム。
  11. 非一過性コンピュータ可読媒体であって、前記非一過性コンピュータ可読媒体は、プロセッサによる実行のために、またはフィールドプログラマブルゲートアレイを構成するために、プラズマ処理を実施するためのその上に記憶される命令を備え、前記命令は、
    第1の処理ステップの間に励起源を用いて第1のデューティサイクルでパルス化電力をプラズマ処理チャンバに印加することと、
    前記第1の処理ステップの間に非対称周期電圧波形を基板支持体に印加し、基板とプラズマとの間に第1のプラズマシース電圧を生じることと、
    第2の処理ステップの間に前記励起源を用いて第2のデューティサイクルでパルス化電力を前記プラズマ処理チャンバに印加することであって、前記第2の処理ステップは、前記第1の処理ステップに続く、ことと、
    前記第2の処理ステップの間に異なる非対称周期電圧波形を前記基板支持体に印加し、前記基板と前記プラズマとの間に異なるプラズマシース電圧を生じることと
    を行うための命令を含む、非一過性コンピュータ可読媒体。
  12. 前記第1のデューティサイクルは、前記第2のデューティサイクルよりも長く、
    前記第2の処理ステップの間の前記シース電圧の大きさは、前記第1の処理ステップの間の前記シース電圧の大きさよりも小さい、請求項11に記載の非一過性コンピュータ可読媒体。
  13. 前記第2の処理ステップの間の前記パルス化電力の電圧は、前記第1の処理ステップの間の前記パルス化電力の電圧よりも低い、請求項11に記載の非一過性コンピュータ可読媒体。
  14. 前記処理ステップのうちの一方または両方の間に前記異なるプラズマシース電圧を発生させることは、ある範囲のシース電圧を発生させることを含む、請求項11に記載の非一過性コンピュータ可読媒体。
  15. 前記範囲のシース電圧を発生させることは、前記基板の表面に衝突するイオンのイオン電流を上回るか、または下回るかの少なくとも一方である電流を前記基板のための支持体に提供することを含む、請求項14に記載の非一過性コンピュータ可読媒体。
JP2023027225A 2017-11-17 2023-02-24 プラズマ処理源および基板バイアスの同期パルス化 Active JP7432781B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762588187P 2017-11-17 2017-11-17
US62/588,187 2017-11-17
PCT/US2018/061575 WO2019099870A1 (en) 2017-11-17 2018-11-16 Synchronized pulsing of plasma processing source and substrate bias
JP2020545044A JP7235761B2 (ja) 2017-11-17 2018-11-16 プラズマ処理源および基板バイアスの同期パルス化

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020545044A Division JP7235761B2 (ja) 2017-11-17 2018-11-16 プラズマ処理源および基板バイアスの同期パルス化

Publications (2)

Publication Number Publication Date
JP2023075173A true JP2023075173A (ja) 2023-05-30
JP7432781B2 JP7432781B2 (ja) 2024-02-16

Family

ID=66533293

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545044A Active JP7235761B2 (ja) 2017-11-17 2018-11-16 プラズマ処理源および基板バイアスの同期パルス化
JP2023027225A Active JP7432781B2 (ja) 2017-11-17 2023-02-24 プラズマ処理源および基板バイアスの同期パルス化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020545044A Active JP7235761B2 (ja) 2017-11-17 2018-11-16 プラズマ処理源および基板バイアスの同期パルス化

Country Status (8)

Country Link
US (3) US10607813B2 (ja)
EP (2) EP4231328A1 (ja)
JP (2) JP7235761B2 (ja)
KR (1) KR20200100641A (ja)
CN (1) CN111868873B (ja)
PL (1) PL3711080T3 (ja)
TW (2) TWI804836B (ja)
WO (1) WO2019099870A1 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US11615943B2 (en) * 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) * 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
US10861677B2 (en) * 2017-07-07 2020-12-08 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating the same
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP4231328A1 (en) * 2017-11-17 2023-08-23 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
WO2019112849A1 (en) * 2017-12-07 2019-06-13 Lam Research Corporation Rf pulsing within pulsing for semiconductor rf plasma processing
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110504149B (zh) * 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 射频电源的脉冲调制系统及方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20200086826A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) * 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
NL2023935B1 (en) * 2019-10-02 2021-05-31 Prodrive Tech Bv Determining an optimal ion energy for plasma processing of a dielectric substrate
JP7262375B2 (ja) * 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20210351007A1 (en) * 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) * 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023035390A (ja) 2021-09-01 2023-03-13 東京エレクトロン株式会社 プラズマ測定方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230223235A1 (en) * 2022-01-12 2023-07-13 Mks Instruments, Inc. Pulse And Bias Synchronization Methods And Systems
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
EP4235738A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235734A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235733A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235736A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235735A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235741A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235742A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235739A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235740A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
EP4235737A1 (en) 2022-02-28 2023-08-30 TRUMPF Huettinger Sp. Z o. o. High power generator and method of supplying high power pulses
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024023877A1 (ja) * 2022-07-25 2024-02-01 株式会社日立ハイテク プラズマ処理方法
US20240055244A1 (en) * 2022-08-10 2024-02-15 Applied Materials, Inc. Pulsed voltage compensation for plasma processing applications
US20240055228A1 (en) * 2022-08-10 2024-02-15 Mks Instruments, Inc. Plasma Process Control of Multi-Electrode Systems Equipped with Ion Energy Sensors
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240145215A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Pulsed voltage plasma processing apparatus and method
US20240194446A1 (en) * 2022-12-09 2024-06-13 Applied Materials, Inc. Chamber impedance management in a processing chamber

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS62125626A (ja) 1985-11-27 1987-06-06 Hitachi Ltd ドライエツチング装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
DE3708717A1 (de) 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH0214572A (ja) 1988-07-01 1990-01-18 Toshiba Corp 半導体装置
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
DE69017744T2 (de) 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JP2830978B2 (ja) 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5057185A (en) 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04193329A (ja) 1990-11-28 1992-07-13 Hitachi Ltd イオン回収装置
US5604463A (en) 1992-03-16 1997-02-18 Zero Impedance Systems Coupling circuit
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JP3208079B2 (ja) 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
KR970064327A (ko) 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
IL118638A (en) 1996-06-12 2002-02-10 Fruchtman Amnon Beam source
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4351755B2 (ja) 1999-03-12 2009-10-28 キヤノンアネルバ株式会社 薄膜作成方法および薄膜作成装置
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6326584B1 (en) 1999-12-31 2001-12-04 Litmas, Inc. Methods and apparatus for RF power delivery
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6392210B1 (en) 1999-12-31 2002-05-21 Russell F. Jewett Methods and apparatus for RF power process operations with automatic input power control
JP4633881B2 (ja) 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4334723B2 (ja) 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6806201B2 (en) 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6714033B1 (en) 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US6853953B2 (en) 2001-08-07 2005-02-08 Tokyo Electron Limited Method for characterizing the performance of an electrostatic chuck
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6885453B2 (en) 2001-11-13 2005-04-26 Sick Ag Gas permeable probe for use in an optical analyzer for an exhaust gas stream flowing through a duct or chimney
US7931787B2 (en) * 2002-02-26 2011-04-26 Donald Bennett Hilliard Electron-assisted deposition process and apparatus
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
DE10214190B4 (de) 2002-03-28 2011-06-30 Minebea Co., Ltd. Stromversorgung mit mehreren parallel geschalteten Schaltnetzteilen
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6802366B1 (en) 2002-10-31 2004-10-12 Advanced Energy Industries, Inc. Swage method for cooling pipes
JP4319514B2 (ja) 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6724148B1 (en) 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
US7468494B2 (en) 2003-01-31 2008-12-23 Advanced Energy Industries Reaction enhancing gas feed for injecting gas into a plasma chamber
US6822396B2 (en) 2003-01-31 2004-11-23 Advanced Energy Industries, Inc. Transformer ignition circuit for a transformer coupled plasma source
US6927358B2 (en) 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US6819096B2 (en) 2003-01-31 2004-11-16 Advanced Energy Industries, Inc. Power measurement mechanism for a transformer coupled plasma source
DE10317208A1 (de) 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
US7615132B2 (en) 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP4111186B2 (ja) 2004-11-18 2008-07-02 日新電機株式会社 イオン照射装置
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4468194B2 (ja) 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
TWI298909B (en) 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US20070246163A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
WO2009023135A1 (en) 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US7737702B2 (en) 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
JP5165968B2 (ja) 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
PT2599506T (pt) 2007-11-06 2018-10-22 Creo Medical Ltd Aplicador para sistema de esterilização por plasma de micro-ondas
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
EP2122657B8 (en) * 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7777179B2 (en) 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
JP2010003465A (ja) 2008-06-18 2010-01-07 Sumitomo Wiring Syst Ltd 雌型のコネクタ
WO2010013476A1 (ja) 2008-07-31 2010-02-04 キヤノンアネルバ株式会社 プラズマ処理装置および電子デバイスの製造方法
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8363378B2 (en) 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN102439697B (zh) * 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
CN201465987U (zh) 2009-07-03 2010-05-12 中微半导体设备(上海)有限公司 等离子体处理装置
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN101835334B (zh) 2010-01-19 2013-01-30 大连理工大学 一种交叉场放电共振耦合的控制方法
JP2011211168A (ja) 2010-03-09 2011-10-20 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
JP2013522477A (ja) 2010-03-22 2013-06-13 アプライド マテリアルズ インコーポレイテッド 遠隔プラズマ源を用いた誘電体堆積
US8795488B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
JP5623115B2 (ja) 2010-04-09 2014-11-12 キヤノン株式会社 プラズマ放電用電源装置、およびプラズマ放電処理方法
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
EP2407998B1 (en) 2010-07-15 2019-02-13 Ecole Polytechnique Plasma processing in a capacitively-coupled reactor with trapezoidal-waveform excitation
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2012104382A (ja) 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP5718124B2 (ja) 2011-03-30 2015-05-13 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US9604877B2 (en) * 2011-09-02 2017-03-28 Guardian Industries Corp. Method of strengthening glass using plasma torches and/or arc jets, and articles made according to the same
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9283635B2 (en) 2012-03-02 2016-03-15 Lincoln Global, Inc. Synchronized hybrid gas metal arc welding with TIG/plasma welding
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6377060B2 (ja) 2012-08-28 2018-08-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
CN107978506B (zh) * 2012-08-28 2021-07-09 先进工程解决方案全球控股私人有限公司 控制开关模式离子能量分布系统的方法
JP6002556B2 (ja) 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9312106B2 (en) 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
CN105793955B (zh) 2013-11-06 2019-09-13 应用材料公司 通过dc偏压调制的颗粒产生抑制器
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9520267B2 (en) 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9659751B2 (en) 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
JP6541540B2 (ja) 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
CN106920729B (zh) 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
KR102124407B1 (ko) 2016-01-18 2020-06-18 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US10665433B2 (en) 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP4231328A1 (en) * 2017-11-17 2023-08-23 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US20210202209A1 (en) 2017-11-17 2021-07-01 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
JP2019125626A (ja) 2018-01-12 2019-07-25 東芝メモリ株式会社 半導体装置
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
US20210351007A1 (en) 2020-05-11 2021-11-11 Advanced Energy Industries, Inc. Surface charge and power feedback and control using a switch mode bias system

Also Published As

Publication number Publication date
EP4231328A1 (en) 2023-08-23
US20190157040A1 (en) 2019-05-23
EP3711080A4 (en) 2021-09-29
CN111868873B (zh) 2023-06-16
WO2019099870A1 (en) 2019-05-23
US10607813B2 (en) 2020-03-31
US10896807B2 (en) 2021-01-19
CN111868873A (zh) 2020-10-30
US20200203128A1 (en) 2020-06-25
TWI726258B (zh) 2021-05-01
EP3711080B1 (en) 2023-06-21
US11610761B2 (en) 2023-03-21
TW202135128A (zh) 2021-09-16
KR20200100641A (ko) 2020-08-26
EP3711080A1 (en) 2020-09-23
JP7235761B2 (ja) 2023-03-08
TW201937532A (zh) 2019-09-16
TWI804836B (zh) 2023-06-11
PL3711080T3 (pl) 2023-12-11
JP7432781B2 (ja) 2024-02-16
JP2021503700A (ja) 2021-02-12
US20210134562A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
JP7235761B2 (ja) プラズマ処理源および基板バイアスの同期パルス化
US11842884B2 (en) Spatial monitoring and control of plasma processing environments
JP6512962B2 (ja) プラズマ処理装置
US9053908B2 (en) Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
JPWO2017126184A1 (ja) プラズマ処理方法およびプラズマ処理装置
US11437221B2 (en) Spatial monitoring and control of plasma processing environments
JP2012054534A (ja) プラズマエッチング方法及びその装置
KR102475069B1 (ko) 반도체 제조 장치, 이의 동작 방법
US10998170B2 (en) Method for ion mass separation and ion energy control in process plasmas
US20190318913A1 (en) Apparatus and Method for Controlling Ion Energy Distribution in Process Plasmas
WO2022173626A1 (en) Spatial monitoring and control of plasma processing environments

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240205

R150 Certificate of patent or registration of utility model

Ref document number: 7432781

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150