TWI785812B - 基板支撐件及基板處理方法 - Google Patents

基板支撐件及基板處理方法 Download PDF

Info

Publication number
TWI785812B
TWI785812B TW110135245A TW110135245A TWI785812B TW I785812 B TWI785812 B TW I785812B TW 110135245 A TW110135245 A TW 110135245A TW 110135245 A TW110135245 A TW 110135245A TW I785812 B TWI785812 B TW I785812B
Authority
TW
Taiwan
Prior art keywords
layer
processing chamber
substrate support
substrate processing
inner layer
Prior art date
Application number
TW110135245A
Other languages
English (en)
Other versions
TW202206640A (zh
Inventor
艾瑞克 A 派博
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202206640A publication Critical patent/TW202206640A/zh
Application granted granted Critical
Publication of TWI785812B publication Critical patent/TWI785812B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

一種基板支撐件,位於一基板處理系統中,該基板支撐件包含一底板、一陶瓷層、及一接合層。該陶瓷層係佈置於該底板上以支撐一基板。該接合層係佈置於該陶瓷層與該底板之間。該密封件係環繞該接合層之外週邊而佈置在該陶瓷層與該底板之間。該密封件包含形成為與該接合層相鄰的一內層、及形成為與該內層相鄰的一外層,使得該內層係位於該外層與該接合層之間。該內層包含一第一材料,且該外層包含一第二材料。

Description

基板支撐件及基板處理方法
本揭露內容係關於基板處理系統,且更具體而言係關於用以保護基板支撐件之接合層的系統和方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以處理基板(例如,半導體晶圓)。可於基板上執行之範例性處理包含(但不限於)化學氣相沉積(CVD)、原子層沉積(ALD)、導體蝕刻及/或其它蝕刻、沉積、或清潔處理。可將基板佈置於基板處理系統之處理腔室中的一基板支撐件(例如底座、靜電卡盤(ESC)等)上。於蝕刻期間,可將包含一或更多前驅物的氣體混合物導入處理腔室中,並可使用電漿來啟動化學反應。
基板支撐件(例如,ESC)可包含用以支撐晶圓的陶瓷層。舉例而言,晶圓在處理期間係被夾持至陶瓷層上。該陶瓷層可藉由使用接合材料而接合至基板支撐件之底板上。該底板可包含冷卻鋁底板。舉例而言,接合材料或接合劑可包含具有填料的矽膠(silicone)、環氧基質材料等。填料可包含金屬氧化物顆粒。可選擇接合劑和填料之化學成分以以防止處理腔室的非預期性污染。此外,接合劑的總熱傳遞係數係加以選擇以最佳化從陶瓷層經由接合劑及填料至基板之熱傳遞。總傳熱係數可對應於例如熱導率k、傳熱係數(W/mK)、接合厚度等。例如, 可調整接合劑的成分、體積等以使熱傳遞最佳化。
一種基板支撐件,位於一基板處理系統中,該基板支撐件包含一底板、一陶瓷層、及一接合層。該陶瓷層係佈置於該底板上以支撐一基板。該接合層係佈置於該陶瓷層與該底板之間。該密封件係環繞該接合層之外週邊而佈置在該陶瓷層與該底板之間。該密封件包含形成為與該接合層相鄰的一內層、及形成為與該內層相鄰的一外層,使得該內層係位於該外層與該接合層之間。該內層包含一第一材料,且該外層包含一第二材料。
一種方法,包含:將一陶瓷層佈置在一基板處理系統中的一基板支撐件的一底板上,該陶瓷層係用以支撐一基板;將一接合層佈置在該陶瓷層與該底板之間;及環繞該接合層之外週邊而將一密封件佈置在該陶瓷層與該底板之間。該密封件包含形成為與該接合層相鄰的一內層、及形成為與該內層相鄰的一外層,使得該內層係位於該外層與該接合層之間。該內層包含一第一材料,且該外層包含一第二材料。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
基板處理系統之處理腔室中的基板支撐件(例如,靜電卡盤(ESC))可包含接合至導電底板的陶瓷層。陶瓷層可藉由使用一接合劑而接合至底板上,該接合劑包含接合材料、填料等。於腔室中,接合劑可於基板支撐件的外邊緣處暴露於電漿(其包含了自由基,離子,反應性物種等)。對電漿的暴露可導致部分的接合劑隨時間而發生侵蝕(換言之,耗損)。這樣的耗損可使得化學物種及其它物質(例如,填料、接合劑之顆粒等)得以遷移至處理腔室的反應體積中,而可這對基板處理造成不利地影響。
各種基板支撐件可實行一或更多技術來選擇接合劑來使耗損最小化、保護接合劑等,以防止處理腔室的可能污染及耗損。在第一範例中,基板支撐件可僅包含用以將陶瓷層附接至底板的接合劑而沒有實行任何用以防止接合劑耗損的額外技術,而這使得接合劑在基板處理期間得以直接且立即地發生侵蝕。
在第二範例中,基板支撐件可包含超純、高模數的環氧化合物層,用以保護接合劑及防止接合劑暴露於電漿。一般而言,這樣的環氧化合物層不是現場可更換的。然而,環氧化合物層為相對非撓性的,在基板支撐件上的溫度變化可導致接合劑隨時間而破裂及/或分層,且環氧化合物層在氧及氟電漿化學品中係受到高侵蝕率。因此, 在陶瓷層與環氧化合物層之間、及/或在底板與環氧化合物層之間的裂隙可使得在環氧化合物層後的接合劑得以被侵蝕。
在第三範例中,基板支撐件可包含用以保護接合劑的全氟彈性體密封件(例如,「彈性密封件(e-seal)」) 。然而,彈性密封件相對較快地耗損,更換困難且昂貴,且仍然不能提供對電漿的完美密封。因此,彈性密封件無法防止在彈性密封件後的接合劑逐漸耗損。
在第四範例中,基板支撐件可包含鐵氟龍O形環,該鐵氟龍O形環具有包含低模數材料的芯(僅以舉例而言,例如全氟彈性體芯、矽膠芯等)。相對於第三範例中之彈性密封件,鐵氟龍提供了更佳的耐磨性,但鐵氟龍O形環提供的密封較不有效。例如,在較低的溫度下,鐵氟龍O形環之壓縮因材料收縮而減少。因此,在鐵氟龍O形環後的接合劑仍然發生耗損 。
在第五範例中,基板支撐件(在此範例中,一介電ESC)可包含用以保護接合劑之純的、低模數的矽膠層。該矽膠層為撓性的(例如,相對於第二範例的環氧化合物層而言),因此不會隨著溫度循環而發生分層。然而,矽膠層於直接暴露於某些電漿化學品時一般會快速發生侵蝕,且不是現場可更換的。
因此,上面所述的各種基板支撐件可能設置:環繞接合劑的一種保護性密封件,其將耐耗損性最大化但提供較不有效之密封(例如,僅使用鐵氟龍O形環);一種保護性密封件,其將密封之有效性最大化但提供降低之耐耗損性(例如,使用純矽膠密封);一種保護性密封件,在耐耗損性上妥協以改良密封效果;或一種保護性密封件,在密封效果上妥協以改良耐耗損性。
根據本揭露內容之原理的系統和方法設置了包含二或更多保護層的保護性密封件以保護陶瓷層與底板之間的接合劑,該二或更多保護層具有不同的特性。例如,保護性密封件可包含外層和內層。外層及內層可各別為環狀的。外層係對應於可移除、可更換的O形環或密封件。外層較內層具有更高的耐電漿性,但可能無法提供處理腔室與接合劑之間的完美氣密密封。相反地,內層係對應於永久性或半永久性的撓性層。例如,內層包含無填充的聚合物(例如,純矽膠黏著劑)。因此,由於佈置在處理腔室與內層之間的外層,第二層對處理腔室中之反應物質的暴露相對較少,但是提供了在處理腔室與接合劑之間的氣密密封。因此,外層保護內層免於暴露至處理腔室中的電漿,且內層將接合劑從處理腔室密封。內層和外層之各別的材料可相同或不同。
在一範例中,陶瓷層係以一接合材料(例如,具有填料的聚合物)接合至底板上。由例如高純度、撓性聚合物材料(諸如矽膠、環氧化合物等)構成之內層係環繞該接合劑而塗佈並原位地(in-situ)固化。在一些範例中,內層可在接合材料完全固化或沒有完全固化的情況下塗佈。內層的厚度可顯著地小於基板支撐件的半徑。例如,對於具有150-175 mm之半徑的基板支撐件而言,內層的厚度可為約1 mm。因此,內層具有相對較低的k值,且不會顯著地影響通過基板支撐件的熱傳遞。例如,對於矽膠而言,k值可為約0.2 W/m-K。可透過塗佈器、及/或固化後機械處理來控制內層的厚度及形狀,以提供用以與外層接觸的合適界面。
在一些範例中,外層包含可選性地具有全氟彈性體芯(或由另一合適之低模數材料構成的芯)的鐵氟龍O形環。外層係壓縮於陶瓷層與底板之間。舉例而言,外層可於接合劑及內層完全固化並加工之後進行安裝。
因此,包含內層及外層之保護性密封件在容許許多接合材料及填料化學品的同時對熱均勻性產生最小的額外影響。外層可非原位地更換及/或翻新,且可具有10,000或更多偏壓RF小時的壽命。相反地,內層對處理腔室中之電漿的暴露相對較少,且在將接合劑與處理腔室之間的密封有效性最大化的同時於外層的好幾個更換循環之期間內皆不會經歷顯著的耗損。因此,接合劑中的材料(例如,矽膠接合劑中的硼氮化物填料)得以污染處理腔室之風險係大為降低。
現在參照圖1,顯示了範例性基板處理系統100。僅以舉例而言,基板處理系統100可用以藉由使用RF電漿而執行蝕刻及/或用於其它合適的基板處理。基板處理系統100包含處理腔室102,其包圍基板處理系統100的其它構件並容納RF電漿。基板處理腔室102包含上電極104、及基板支撐件106(例如,靜電卡盤(ESC))。在操作期間,基板108係佈置於基板支撐件106上。 雖然顯示了特定的基板處理系統100及腔室102做為範例,然而本揭露內容之原理可應用於其他類型的基板處理系統及腔室,例如原位產生電漿的基板處理系統、實行遠距電漿產生及輸送(例如,藉由使用微波管)的基板處理系統等。
僅以舉例而言,上電極104可包含導入並分配處理氣體的噴淋頭109。噴淋頭109可包含柄部部分,其包含連接至處理腔室之頂部表面的一端。基部部分大體上為圓柱形,且在與處理腔室之頂部表面間隔開的位置處自柄部部分的一相反端徑向向外延伸。噴淋頭之基部部分的面向基板之表面或面板包含複數的孔,處理氣體或吹淨氣體(purge gas)係流動通過該等孔。或者,上電極104可包含導電板,且處理氣體可以另一方式導入。
基板支撐件106包含了做為下部電極的導電底板110。在一些範例中,底板110可支撐一加熱板112(例如,陶瓷層),該加熱板可對應於一陶瓷多區域加熱板。一熱阻層114(例如,接合層)可配置在加熱板112與底板110之間。底板110可包含用以讓冷卻劑流動通過底板110的一或更多冷卻劑通道116。
RF產生系統120產生並輸出一RF電壓至至上電極104及下電極(例如,基板支撐件106的底板110)其中一者。上電極104與底板110其中另一者可為DC接地、AC接地、或浮接。僅以舉例而言,RF產生系統120可包含產生RF電壓之RF電壓產生器122,該RF電壓係藉由匹配與分配網路124而供給至上電極104或底板110。在其他範例中,可感應地或遠程地產生電漿。雖然如吾人為舉例之目的而顯示,RF產生系統120係對應於電容耦合電漿(CCP)系統,但本揭露內容之原理亦可實行於其他合適之系統中,僅以舉例而言,例如變壓耦合電漿(TCP)系統、CCP陰極系統、遠距微波電漿產生及傳送系統等。
氣體輸送系統130包含一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。該氣體來源供應一或更多前驅物及其混合物。該氣體來源亦供應吹掃氣體 。亦可使用汽化之前驅物。氣體來源132藉由閥134-1、134-2、…,及134-N(統稱為閥134)、與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136)而連接至岐管140。岐管140之輸出係供給至處理腔室102。僅以舉例而言,岐管140之輸出係供給至噴淋頭109。
溫度控制器142可連接至複數加熱元件,例如佈置於加熱板112中的熱控制元件(TCE,thermal control elements) 144。舉例而言,加熱元件144可包含(但不限於)與多區域加熱板中之個別區域相對應的巨加熱元件、及/或設置於多區域加熱板的多個區域上的微加熱元件之陣列。溫度控制器142可用以控制複數加熱元件144以控制基板支撐件106及基板108之溫度。根據本揭露內容之原理的該等加熱元件144其中每一者包含具有正TCR的第一材料、及具有負TCR的第二材料(如下面所詳述)。
溫度控制器142可與冷卻劑組件146通信以控制流動通過通道116的冷卻劑流量。例如,冷卻劑組件146可包含冷卻劑泵浦及貯存器。溫度控制器142對冷卻劑組件146進行操作以選擇性地使冷卻劑流動通過通道116以冷卻基板支撐件106。
閥150及泵浦152可用以從處理腔室102抽空反應物。系統控制器160可用以控制基板處理系統100的構件。機械臂170可用以將基板傳遞至基板支撐件106上、及將基板從基板支撐件106移除。例如,機械臂170可在基板支撐件106與負載鎖室172之間傳送基板。雖然顯示為獨立的控制器,但溫度控制器142可設置於系統控制器160內。
根據本揭露內容之原理的基板支撐件106包含一保護性密封件176,該保護性密封件176具有外層及內層(如以下更詳細地顯示並描述)。保護性密封件176可佈置於基板支撐件106的邊緣環180與接合層114之間。
現在參照圖2A及2B,顯示了範例性基板支撐件200的一部分。基板支撐件200包含佈置在底板208上的陶瓷層204。在一些範例中,陶瓷層204可對應於配置成加熱層的陶瓷板(例如,包含嵌入式加熱元件的陶瓷板)。接合層212係設置於陶瓷層204與底板208之間。邊緣環216可環繞陶瓷層204及底板208的外邊緣而佈置。
基板支撐件200包含環繞接合層212的周緣而設置在陶瓷層204與底板208之間的保護性密封件220。密封件220包含內層224及外層228。內層224包含一撓性、永久或半永久的(換言之,不易移除的)聚合材料環氧化合物等。僅以舉例而言,內層224可包含無填充的聚合物(例如,純矽膠黏著劑)。例如,內層224係環繞接合層212的周緣而塗佈並固化。在一範例中,內層224係加以原位固化(換言之,在處理腔室內固化)。內層224係接合至陶瓷層204及底板208其中每一者,以在接合層212與處理腔室之間形成一完全密封。如圖所示,內層224係佈置成與接合層212直接相鄰並與接合層212接觸,因此可黏著至接合層212。在其他範例中,內層224可與接合層212間隔開,但同時仍然在接合層212與處理腔室之間提供完美的密封。
外層228包含可移除、可更換的O形環或密封件。在一些範例中,外層228係配置成在首先移除邊緣環216以提供對外層224的通路之後可輕易地原位移除及更換的。在其他範例中,可從處理腔室移除基板支撐件200以便於更換外層228。外層228包含高度耐電漿的材料(例如,鐵氟龍),但其亦為有彈性且耐壓縮應變的。可對外層228的材料加以選擇,以具有對各種電漿化學品的耐侵蝕性,及/或加以選擇以具有對特定電漿化學品的耐侵蝕性。其它範例性材料包含(但不限於)純矽膠、純氟彈性體(例如,氟化交聯鹼類(fluorinated cross-linked alkalines))、氟化低模數或撓性環氧化合物、其它撓性環氧化合物、氟化矽膠等。
外層228係壓縮於陶瓷層204與底板208之間。在內層係完全塗佈並固化以將處理腔室自內層224密封之後,外層228係環繞內層224的外週邊而安裝。如圖2A所示,外層228包含了包括不同材料的芯232(例如,全氟彈性體芯)。例如,芯232包含較O形環具有更大撓性的材料。例如,芯232具有較外層228更低的模數。因此,外層228提供耐電漿蝕刻性,而芯232提供彈性壓縮能力。儘管外層228係顯示為具有圓形橫剖面,然而外層228可具有任何合適的形狀,其中包含(但不限於)x形環、正方形橫剖面等。
如圖2B所示,外層228不包含芯232。在一些範例中,內層224的外表面為凹陷式的以提供外層228之交界面。例如,內層224的外表面可為凹陷式的,以容許外層228之壓縮並減小在接合層212與基板支撐件200的外邊緣之間由密封件220所佔據的總面積。儘管一間隙係顯示於內層224與外層228之間,然而在一些範例中,外層228可與內層224直接相鄰並接觸(假設外層228包含不會黏著至內層224並防礙外層228之移除及更換的材料)。在範例中,內層224可加以超填、超填並機械加工、旋塗等,以達成內層224之外表面的期望輪廓(例如,凹陷的、凸出的、平坦地等)。
因此,由於佈置在處理腔室與內層224之間的外層228,內層224對處理腔室中之反應物質會有相對較少之暴露,但其提供了在處理腔室與接合層212之間的氣密密封。因此,外層 228保護內層224免於暴露至處理腔室,且內層224從處理腔室將接合層212密封。
現在參照圖3,根據本揭露內容原理的範例性方法300開始於304。在308,使用接合層將陶瓷層接合至基板支撐件的底板上。在312,可選性地將接合層固化。在316,環繞接合層之外週邊而將保護性密封件的內層(例如,純矽膠密封件)塗佈於陶瓷層與底板之間。在320,可選性地將內層固化。在324,環繞該內層而安裝保護性密封件的外層(例如,鐵氟龍O形環)。方法300於328結束。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
100:基板處理系統 102:處理腔室 104:上電極 106:基板支撐件 108:基板 109:噴淋頭 110:底板 112:加熱板 114:熱阻層(接合層) 116:冷卻劑通道 120:RF產生系統 122:RF電壓產生器 124:匹配與分配網路 130:氣體輸送系統 132-1~132-N:氣體來源 134-1~134-N:閥 136-1~136-N:質量流量控制器 140:岐管 142:溫度控制器 144:熱控制元件 146:冷卻劑組件 150:閥 152:泵浦 160:系統控制器 170:機械臂 172:負載鎖室 176:保護性密封件 180:邊緣環 200:基板支撐件 204:陶瓷層 208:底板 212:接合層 216:邊緣環 220:保護性密封件 224:內層 228:外層 232:芯 300:方法 304:步驟 308:步驟 312:步驟 316:步驟 320:步驟 324:步驟 328:步驟
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容之原理,圖1為包含基板支撐件之範例性基板處理系統的功能方塊圖;
根據本揭露內容之原理,圖2A為用於基板支撐件之範例性保護性密封件,該保護性密封件包含內層及外層;
根據本發明之原理,圖2B為用於基板支撐件之另一範例性保護性密封件,該保護性密封件包含內層及外層;及
根據本發明之原理,圖3為用以設置保護性密封件的範例性方法。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200:基板支撐件
204:陶瓷層
208:底板
212:接合層
216:邊緣環
220:保護性密封件
224:內層
228:外層
232:芯

Claims (21)

  1. 一種用於基板處理腔室之基板支撐件,包含:一底板;一陶瓷層,接合至該底板;及一密封件,設置在該陶瓷層與該底板之間的界面之外週邊,其中該密封件係設置以將該界面從該基板處理腔室密封,且其中該密封件包含:一黏著劑,設置在該陶瓷層與該底板之間的該界面之外週邊,其中該黏著劑包含第一材料;及一環件,設置在該陶瓷層與該底板之間的該界面之外週邊,其中該環件係可移除並且包含第二材料,該第二材料具有比該第一材料更大的耐電漿侵蝕性,其中該環件係與該黏著劑間隔開。
  2. 如請求項1之用於基板處理腔室之基板支撐件,其中該第一材料包含下列其中至少一者:聚合物材料、環氧化合物、無填充的聚合物、及純矽膠黏著劑。
  3. 如請求項2之用於基板處理腔室之基板支撐件,其中該第二材料包含下列其中至少一者:鐵氟龍、矽膠、氟彈性體、及環氧化合物。
  4. 如請求項1之用於基板處理腔室之基板支撐件,更包含一接合層,其係設置於該陶瓷層與該底板之間,其中:該黏著劑係形成為環繞該接合層之外週邊的一內層;且該環件係設置為在該內層之徑向外側的一外層,使得該內層係位於該外層與該接合層之間。
  5. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層自該底板之上表面延伸至該陶瓷層之下表面。
  6. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層之外表面為凹陷式的。
  7. 如請求項6之用於基板處理腔室之基板支撐件,其中該外層的內表面延伸進入該內層之凹陷式的外表面。
  8. 如請求項4之用於基板處理腔室之基板支撐件,其中該外層包含一O形環。
  9. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層係經固化的。
  10. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層係接合至該陶瓷層及該接合層之其中至少一者。
  11. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層係接合且黏著至該接合層。
  12. 如請求項4之用於基板處理腔室之基板支撐件,其中該內層係與該接合層間隔開。
  13. 如請求項4之用於基板處理腔室之基板支撐件,其中該外層包含一芯,該芯包含與該第二材料不同的第三材料。
  14. 如請求項13之用於基板處理腔室之基板支撐件,其中該第三材料具有比該第二材料更大的撓性。
  15. 如請求項13之用於基板處理腔室之基板支撐件,其中該第三材料具有比該第二材料更低的模數。
  16. 如請求項13之用於基板處理腔室之基板支撐件,其中該第三材料為全氟彈性體聚合物。
  17. 一種密封配置,用於基板處理腔室中之基板支撐件的接合層,該密封配置包含: 一內層,環繞該基板支撐件之陶瓷層與底板之間的該接合層之外週邊而形成,其中該內層將該接合層從該基板處理腔室密封並且包含第一材料;及一外層,設置在該內層的徑向外側,使得該內層係位於該外層與該接合層之間,其中該外層係可移除並且包含第二材料,該第二材料具有比該第一材料更大的耐電漿侵蝕性,其中該外層係與該內層間隔開。
  18. 如請求項17之密封配置,其中該第一材料包含下列其中至少一者:聚合物材料、環氧化合物、無填充的聚合物、及純矽膠黏著劑;且該第二材料包含下列其中至少一者:鐵氟龍、矽膠、氟彈性體、及環氧化合物。
  19. 如請求項17之密封配置,其中該內層之外表面為凹陷式的,且該外層的內表面延伸進入該內層之凹陷式的外表面。
  20. 如請求項19之密封配置,其中該外層包含一O形環。
  21. 如請求項17之密封配置,其中該內層係經固化的,且係接合至該陶瓷層及該接合層之其中至少一者。
TW110135245A 2016-05-18 2017-05-15 基板支撐件及基板處理方法 TWI785812B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/157,959 2016-05-18
US15/157,959 US10340171B2 (en) 2016-05-18 2016-05-18 Permanent secondary erosion containment for electrostatic chuck bonds

Publications (2)

Publication Number Publication Date
TW202206640A TW202206640A (zh) 2022-02-16
TWI785812B true TWI785812B (zh) 2022-12-01

Family

ID=60330399

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106115919A TWI744328B (zh) 2016-05-18 2017-05-15 基板支撐件及基板處理方法
TW110135245A TWI785812B (zh) 2016-05-18 2017-05-15 基板支撐件及基板處理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106115919A TWI744328B (zh) 2016-05-18 2017-05-15 基板支撐件及基板處理方法

Country Status (5)

Country Link
US (2) US10340171B2 (zh)
JP (2) JP7063545B2 (zh)
KR (2) KR102426700B1 (zh)
CN (2) CN117276170A (zh)
TW (2) TWI744328B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
JP6545261B2 (ja) 2014-10-17 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11127619B2 (en) * 2016-06-07 2021-09-21 Applied Materials, Inc. Workpiece carrier for high power with enhanced edge sealing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
CN111466019A (zh) * 2017-12-05 2020-07-28 朗姆研究公司 用于边缘环损耗补偿的系统和方法
CN109962031B (zh) * 2017-12-22 2021-03-12 中微半导体设备(上海)股份有限公司 一种受保护的静电吸盘及其应用
JP6971183B2 (ja) * 2018-03-23 2021-11-24 新光電気工業株式会社 基板固定装置
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
JP2022509636A (ja) * 2018-11-30 2022-01-21 アプライド マテリアルズ インコーポレイテッド 3d nand用途のための膜積層体オーバーレイの改善
JP7203585B2 (ja) * 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
JP7340938B2 (ja) 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
JP7204893B2 (ja) * 2019-03-29 2023-01-16 京セラ株式会社 ガスプラグ、静電吸着用部材およびプラズマ処理装置
WO2020257095A1 (en) * 2019-06-18 2020-12-24 Lam Research Corporation Reduced diameter carrier ring hardware for substrate processing systems
JP7281374B2 (ja) * 2019-09-09 2023-05-25 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP7411431B2 (ja) * 2020-01-31 2024-01-11 新光電気工業株式会社 静電チャック、基板固定装置
KR102644585B1 (ko) * 2020-08-21 2024-03-06 세메스 주식회사 기판 처리 장치 및 이의 제조 방법
CN114464550A (zh) * 2020-11-09 2022-05-10 东京毅力科创株式会社 基片处理系统
WO2023224870A1 (en) * 2022-05-19 2023-11-23 Lam Research Corporation Replacement signaling seal

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200710935A (en) * 2005-07-19 2007-03-16 Lam Res Corp Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH0653149A (ja) * 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
EP0692156A1 (en) 1994-01-31 1996-01-17 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6357759B1 (en) * 1999-02-15 2002-03-19 Mitsubishi Cable Industries, Ltd. Jacket seal
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6682603B2 (en) 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
WO2004038781A1 (ja) * 2002-10-25 2004-05-06 Nok Corporation 耐プラズマ用シール
WO2004084298A1 (ja) 2003-03-19 2004-09-30 Tokyo Electron Limited 静電チャックを用いた基板保持機構およびその製造方法
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
KR100808349B1 (ko) * 2003-11-21 2008-02-27 다이킨 고교 가부시키가이샤 밀봉재, 그의 제조방법 및 그를 갖는 액정·반도체 제조 장치
US20060273277A1 (en) * 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
JP4783213B2 (ja) * 2005-06-09 2011-09-28 日本碍子株式会社 静電チャック
JP4942471B2 (ja) 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
US7651571B2 (en) * 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
JP2009290087A (ja) 2008-05-30 2009-12-10 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
JP2010129845A (ja) 2008-11-28 2010-06-10 Creative Technology:Kk 静電チャック及びその製造方法
JP5250408B2 (ja) 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
CN107527854A (zh) 2012-04-26 2017-12-29 应用材料公司 针对防止静电夹盘的黏接粘合剂侵蚀的方法及设备
US9105676B2 (en) * 2012-09-21 2015-08-11 Lam Research Corporation Method of removing damaged epoxy from electrostatic chuck
US20150024517A1 (en) * 2013-07-19 2015-01-22 Texas Instruments Incorporated Plasma etcher chuck band
US10090211B2 (en) * 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
KR20170128585A (ko) * 2015-03-20 2017-11-22 어플라이드 머티어리얼스, 인코포레이티드 고온 폴리머 본드를 이용하여 금속 베이스에 본딩 결합된 세라믹 정전 척
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200710935A (en) * 2005-07-19 2007-03-16 Lam Res Corp Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system

Also Published As

Publication number Publication date
KR102551996B1 (ko) 2023-07-05
CN117276170A (zh) 2023-12-22
JP2022105059A (ja) 2022-07-12
TW202206640A (zh) 2022-02-16
TW201805472A (zh) 2018-02-16
TWI744328B (zh) 2021-11-01
US10340171B2 (en) 2019-07-02
JP7063545B2 (ja) 2022-05-09
KR102426700B1 (ko) 2022-07-27
CN107403747B (zh) 2023-10-13
KR20220107146A (ko) 2022-08-02
KR20170130284A (ko) 2017-11-28
US20190311935A1 (en) 2019-10-10
JP2017216441A (ja) 2017-12-07
JP7401589B2 (ja) 2023-12-19
CN107403747A (zh) 2017-11-28
US20170338140A1 (en) 2017-11-23

Similar Documents

Publication Publication Date Title
TWI785812B (zh) 基板支撐件及基板處理方法
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI765922B (zh) 具有小間隙之銷升降器組件
KR101354281B1 (ko) 유전체 식각 시 파티클 오염 감소를 위한 밀봉 엘라스토머 접합 실리콘 전극등
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
CN106449504B (zh) 用于静电卡盘的具有凸状内表面的环形边缘密封件
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
KR20180016300A (ko) 부분적으로 그물 형상이고 부분적으로 거의 그물 형상인 실리콘 카바이드 cvd
JP7186494B2 (ja) 粒子性能および金属性能の改善のためのescセラミック側壁の加工
WO2024097077A1 (en) Electrostatic chuck e-seal with offset sealing surface
TW202209395A (zh) 具有整合式密封件的冷卻邊緣環
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
TW202205350A (zh) 用於處理具缺口之晶圓的電漿排除區域環