CN107403747A - 用于静电卡盘粘合剂的永久性二次侵蚀约束 - Google Patents

用于静电卡盘粘合剂的永久性二次侵蚀约束 Download PDF

Info

Publication number
CN107403747A
CN107403747A CN201710350799.0A CN201710350799A CN107403747A CN 107403747 A CN107403747 A CN 107403747A CN 201710350799 A CN201710350799 A CN 201710350799A CN 107403747 A CN107403747 A CN 107403747A
Authority
CN
China
Prior art keywords
layer
substrate
adhesive
substrate support
outer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710350799.0A
Other languages
English (en)
Other versions
CN107403747B (zh
Inventor
埃里克·A·佩普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202311216139.5A priority Critical patent/CN117276170A/zh
Publication of CN107403747A publication Critical patent/CN107403747A/zh
Application granted granted Critical
Publication of CN107403747B publication Critical patent/CN107403747B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

本发明提供了用于静电卡盘粘合剂的永久性二次侵蚀约束。衬底处理系统中的衬底支撑件包括基板、陶瓷层和粘合层。陶瓷层布置在基板上以支撑衬底。粘合层布置在陶瓷层和基板之间。密封件围绕所述粘合层的外周布置在陶瓷层和基板之间。所述密封件包括:内层,其形成在所述粘合层相邻处;和外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间。内层包括第一材料,外层包括第二材料。

Description

用于静电卡盘粘合剂的永久性二次侵蚀约束
技术领域
本公开涉及衬底处理系统,更具体地涉及用于保护衬底支撑件的粘合层的系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分以及在申请提交时不会以其他方式认为是现有技术的描述的方面中描述的程度上,目前署名的发明人的工作既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可用于处理诸如半导体晶片之类的衬底。可以在衬底上进行的示例性工艺包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、导体蚀刻和/或其它蚀刻、沉积或清洁工艺。衬底可以被布置在衬底处理系统的处理室中的诸如基座、静电卡盘(ESC)之类的衬底支撑件上。在蚀刻期间,包括一种或多种前体的气体混合物可以被引入到处理室中,并且可以使用等离子体来引发化学反应。
诸如ESC之类的衬底支撑件可以包括布置成支撑晶片的陶瓷层。例如,在处理期间,晶片可以被夹持到陶瓷层。陶瓷层可以使用粘合材料粘合到衬底支撑件的基板上。基板可以包括冷却的铝基板。例如,粘合材料或粘合剂可以包括具有填料的硅酮(silicone)、环氧基体材料等。填料可以包含金属氧化物颗粒。可以选择粘合剂和填料的化学组成以防止处理室的无意污染。此外,选择粘合剂的总热传递系数以优化经由粘合剂和填料从陶瓷层到基板的热传递。总热传导系数可以对应于例如热导率k,传热系数(W/m-K),粘合厚度等。例如,可以调节粘合剂的含量、体积等以优化热传输。
发明内容
衬底处理系统中的衬底支撑件包括基板、陶瓷层和粘合层。陶瓷层布置在基板上以支撑衬底。粘合层布置在陶瓷层和基板之间。密封件围绕所述粘合层的外周布置在陶瓷层和基板之间。所述密封件包括:内层,其形成在所述粘合层相邻处;和外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间。内层包括第一材料,外层包括第二材料。
一种方法,其包括在衬底处理系统中的衬底支撑件的基板上布置陶瓷层,所述陶瓷层被布置成支撑衬底,在所述陶瓷层和所述基板之间布置粘合层,以及围绕所述粘合层的外周在所述陶瓷层和所述基板之间布置密封件。所述密封件包括:内层,其形成在所述粘合层相邻处;和外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间。内层包括第一材料,外层包括第二材料。
具体而言,本发明的一些方面可以阐述如下:
1.一种衬底处理系统中的衬底支撑件,所述衬底支撑件包括:
基板,
布置在所述基板上的陶瓷层,所述陶瓷层被布置成支撑衬底;
布置在所述陶瓷层和所述基板之间的粘合层;以及
围绕所述粘合层的外周布置在所述陶瓷层和所述基板之间的密封件,其中所述密封件包括:
内层,其形成在所述粘合层相邻处,其中所述内层包括第一材料,以及
外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间,其中所述外层包括第二材料。
2.根据条款1所述的衬底支撑件,其中所述第一材料是聚合物。
3.根据条款1所述的衬底支撑件,其中所述第一材料包括硅酮和环氧树脂中的至少一种。
4.根据条款1所述的衬底支撑件,其中所述第二材料是特氟龙。
5.根据条款1所述的衬底支撑件,其中所述外层包括O形环。
6.根据条款1所述的衬底支撑件,其中所述外层包括包含不同于所述第二材料的第三材料的芯。
7.根据条款6所述的衬底支撑件,其中所述第三材料是全氟弹性体聚合物。
8.根据条款6所述的衬底支撑件,其中所述第三材料具有比所述第二材料高的弹性。
9.根据条款1所述的衬底支撑件,其中所述第二材料具有比所述第一材料高的对等离子体的抗性。
10.根据条款1所述的衬底支撑件,其中所述内层与所述粘合层直接相邻,并且所述外层与所述内层间隔开。
11.根据条款1所述的衬底支撑件,其中所述内层的外表面是凹形的。
12.一种方法,其包括:
在衬底处理系统中的衬底支撑件的基板上布置陶瓷层,所述陶瓷层被配置成支撑衬底;
在所述陶瓷层和所述基板之间布置粘合层;以及
围绕所述粘合层的外周在所述陶瓷层和所述基板之间布置密封件,其中所述密封件包括:
内层,其形成在所述粘合层相邻处,其中所述内层包括第一材料,以及
外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间,其中所述外层包括第二材料。
13.根据条款12所述的方法,其中所述第一材料是聚合物。
14.根据条款12所述的方法,其中所述第一材料包括硅酮和环氧树脂中的至少一种。
15.根据条款12所述的方法,其中所述第二材料是特氟龙。
16.根据条款12所述的方法,其中所述外层包括O形环。
17.根据条款12所述的方法,其中所述外层包括包含不同于所述第二材料的第三材料的芯。
18.根据条款17所述的方法,其中所述第三材料是全氟弹性体聚合物。
19.根据条款17所述的方法,其中所述第三材料具有比所述第二材料的弹性高的弹性。
20.根据条款12所述的方法,其中所述第二材料具有比所述第一材料的对等离子体的抗性高的对等离子体的抗性。
根据详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
从详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的原理的包括衬底支撑件的示例性衬底处理系统的功能框图;
图2A是根据本公开的原理的用于衬底支撑件的包括内层和外层的示例性保护密封件;
2B是根据本发明的原理的用于衬底支撑件的包括内层和外层的另一示例性保护密封件;和
图3是根据本公开的原理的用于提供保护性密封件的示例性方法。
在附图中,附图标记可以重复使用以标识相似和/或相同的元件。
具体实施方式
在衬底处理系统的处理室中的诸如静电卡盘(ESC)之类的衬底支撑件可以包括粘合到导电基板的陶瓷层。陶瓷层可以使用包括粘合材料、填料等的粘合剂粘合到基板上。该粘合剂可以暴露于在室内的在衬底支撑件的外边缘处的等离子体中,等离子体包括自由基、离子、反应性物质等。暴露于等离子体可能导致粘合剂的部分随时间推移而侵蚀(即磨损)。这种磨损可以允许化学物质和其它物质(例如,粘合剂颗粒、填料等)迁移到处理室的反应体积中,这可能不利地影响衬底处理。
各种衬底支撑件可以实施一种或多种技术来选择粘合剂以最小化磨损、保护粘合剂等以防止磨损和可能的处理室的污染。在第一实例中,衬底支撑件可以仅包括用于将陶瓷层附接到基板的粘合剂,而不实施用于防止粘合剂磨损的任何附加技术,其允许在衬底处理期间直接和立即地侵蚀粘合剂。
在第二实例中,衬底支撑件可以包括超纯度、高模量的环氧树脂层,其被布置成保护粘合剂并防止粘合剂暴露于等离子体上。通常,这种环氧树脂层不是现场可替换的。然而,环氧树脂层相对不柔性,并且衬底支撑件的温度变化可能导致粘合剂随时间推移而破裂和/或分层,并且在氧和氟等离子体化学品中经受高的侵蚀速率。因此,陶瓷层和环氧树脂层之间和/或基板与环氧树脂层之间的开裂可能会允许侵蚀环氧树脂层后面的粘合剂。
在第三实例中,衬底支撑件可以包括布置成保护粘合剂的全氟弹性体密封件(例如,“e密封件”)。然而,e密封件相对较快地磨损,更换有难度并且昂贵,并且仍然不能提供完美的针对等离子体的密封。因此,e密封件不能防止e密封件后面的粘合剂的逐渐磨损。
在第四实例中,衬底支撑件可以包括具有包括低模量材料的芯(例如仅全氟弹性体芯、硅酮(silicone)芯等)的特氟龙(聚四氟乙烯;Teflon)O形环。Teflon提供了相对于在第三实例中e密封件的改善的耐磨性,但由Teflon O型环提供的密封效果较差。例如,在较低的温度下,由于材料收缩,Teflon O形环的压缩减小。因此,Teflon O型环后面的粘合剂仍然发生磨损。
在第五实例中,衬底支撑件(在该实例中,电介质ESC)可以包括布置成保护粘合剂的纯的低模量硅酮层。硅酮层(silicone layer)(例如,相对于第二实例的环氧树脂层)是柔性的,因此不会随着温度周期性变化而分层。然而,当直接暴露于某些等离子体化学物质时,硅酮层通常会快速腐蚀,并且不可现场替换。
因此,如上所述的各种衬底支撑件可以实现使耐磨性最大化但同时提供较不有效的密封的围绕粘合剂的保护性密封(例如,仅使用Teflon O型环),使密封有效性最大化但同时提供降低的耐磨性的保护性密封(例如,使用纯硅酮密封件),损害耐磨性以提高密封有效性的保护性密封,或损害密封有效性以改善耐磨性的保护性密封。
根据本公开的原理的系统和方法实现了包括具有不同特性的两个或更多个保护层以保护陶瓷层和基板之间的粘合剂的保护性密封件。例如,保护性密封件可以包括外层和内层。外层和内层可以各自是环形的。外层对应于可拆卸可更换的O形环或密封件。外层具有比内层高的抗等离子体性能,但可能不能在处理室和粘合剂之间提供完美的气密密封。相反,内层对应于永久性或半永久性的柔性层。例如,内层包括未填充的聚合物,例如纯硅酮胶粘剂。因此,由于外层布置在处理室和内层之间,因此第二层将相对较少地暴露于在处理室中的反应物质,而是在处理室和粘合剂之间提供了气密密封。因此,外层保护内层免于暴露于处理室中的等离子体,内层将粘合剂与处理室隔离。内层和外层的各自的材料可以相同或不同。
在一个实施例中,陶瓷层用诸如具有填料的聚合物之类的粘合材料粘合到基板上。由例如高纯度、柔性的聚合物材料(如硅酮、环氧树脂等)构成的内层围绕粘合剂施加并原位固化。在一些实施例中,可以施加粘合剂,并完全固化粘合材料或不完全固化粘合材料。内层的厚度可以显著小于衬底支撑件的半径。例如,对于半径为150-175mm的衬底支撑件,内层的厚度可以为约1mm。因此,内层具有相对低的k值,并且不会显著影响通过衬底支撑件的热传递。例如,对于硅酮,k值可以是约0.2W/m-K。可以通过施加器和/或后固化机械处理来控制内层的厚度和形状,以提供用于与外层接触的合适的界面。
在一些实施例中,外层包括任选具有全氟弹性体芯(或由另一合适的低模量材料构成的芯)的Teflon O型环。外层被压缩在陶瓷层和基板之间。例如,可以在粘合剂和内层充分固化和处理之后安装外层。
因此,包括内层和外层的保护性密封件对热均匀性造成最小的额外影响,同时容许多种粘合材料和填料化学物质。外层可以非原位替换和/或更新,并且可以具有10,000或更多偏置RF小时的寿命。相反,内层相对较少地暴露于处理室中的等离子体,并且在外层的几个替换周期期间将不会经历显著的磨损,同时使粘合剂和处理室之间的密封有效性最大化。因此,大大降低了处理室被粘合剂中的材料(例如,硅粘合剂中的氮化硼填料)污染的风险。
现在参考图1,示出了示例性衬底处理系统100。仅示例,衬底处理系统100可以用于实施使用RF等离子体的蚀刻和/或其它合适的衬底处理。衬底处理系统100包括处理室102,处理室102包围衬底处理室100的其它部件并且包含RF等离子体。衬底处理室100包括上电极104和衬底支撑件106(例如静电卡盘(ESC))。在操作期间,衬底108被布置在衬底支撑件106上。尽管示出了特定衬底处理系统100和室102作为示例,但是本公开的原理可以应用于其他类型的衬底处理系统和室,例如能够实现远程等离子体产生和输送(例如使用微波管)的原位产生等离子体的衬底处理系统等等。
仅示例,上电极104可以包括引入和分配工艺气体的喷头109。喷头109可以包括杆部分,其包括连接到处理室的顶表面的一端。基部通常为圆柱形,并且在与处理室的顶表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部的面向衬底的表面或面板包括多个孔,工艺气体或净化气体流过该多个孔。替代地,上电极104可以包括导电板,并且可以以另一种方式引入工艺气体。
衬底支撑件106包括用作下电极的导电基板110。在一些实施例中,基板110可以支撑可对应于陶瓷多区加热板的加热板112(例如,陶瓷层)。热阻层114(例如,粘合层)可以布置在加热板112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。
RF产生系统120产生RF电压,并将RF电压输出到上电极104和下电极(例如,衬底支撑件106的基板110)中的一个。上电极104和基板110中的另一个可以是直流接地、交流接地或浮置的。仅示例,RF产生系统120可以包括RF电压产生器122,RF电压产生器122产生由匹配和分配网络124馈送到上电极104或基板110的RF电压。在其他实施例中,可以感应或远程地产生等离子体。尽管如示例所示,RF产生系统120对应于电容耦合等离子体(CCP)系统,但是本公开的原理也可以在其他合适的系统中实现,例如仅示例是变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等。
气体输送系统130包括一个或多个气体源132-1、132-2、...和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种前体及其混合物。气体源也可以供应净化气体。也可以使用汽化前体。气体源132通过阀134-1、134-2、...和134-N(统称为阀134)和质量流量控制器136-1、136-2、...和136-N(统称为质量流量控制器136)连接至歧管140。歧管140的输出被馈送到处理室102。仅示例,歧管140的输出被馈送到喷头109。
温度控制器142可以连接到多个加热元件,例如布置在加热板112中的热控制元件(TCE)144。例如,加热元件144可以包括但不限于对应于多区加热板中的各个区域的大的加热元件和/或布置在多区域加热板的多个区域上的微加热元件的阵列。温度控制器142可以用于控制多个加热元件144以控制衬底支撑件106和衬底108的温度。根据本公开原理的每个加热元件144包括如下面更详细描述的具有正TCR的第一材料和具有负TCR的第二材料。
温度控制器142可与冷却剂组件146通信以控制冷却剂流通过通道116。例如,冷却剂组件146可包括冷却剂泵和容器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于从处理室102排出反应物。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到衬底支撑件106上,并从衬底支撑件移除衬底。例如,机械手170可以在衬底支撑件106和负载锁172之间传送衬底。尽管示出为单独的控制器,但温度控制器142可以在系统控制器160内实现。
根据本公开原理的衬底支撑件106包括具有外层和内层的保护性密封件176(下面更详细地示出和描述)。保护性密封件176可以布置在粘合层114和衬底支撑件106的边缘环180之间。
现在参考图2A和2B,示出了示例性衬底支撑件200的一部分。衬底支撑件200包括布置在基板208上的陶瓷层204。在一些实施例中,陶瓷层204可对应于构造为加热层的陶瓷板(例如,包括嵌入式加热元件的陶瓷板)。粘合层212设置在陶瓷层204和基板208之间。边缘环216可以布置在陶瓷层204和基板208的外边缘周围。
衬底支撑件200包括围绕陶瓷层204和基板208之间的粘合层212的外周设置的保护性密封件220。密封件220包括内层224和外层228。内层224包括柔性的、永久的或半永久的(即不易去除的)聚合物材料环氧树脂等。仅示例,内层224可以包括未填充的聚合物,例如纯硅酮胶粘剂。例如,内层224围绕粘合层212的外周施加并被固化。在一个实施例中,内层224被原位固化(即在处理室内)。内层224粘合到陶瓷层204和基板208中的每一个上,以在粘合层212和处理室之间形成完全密封。如图所示,内层224被布置成与粘合层212直接相邻并与粘合层212接触,因此可以附接到粘合层212。在其它实施例中,内层224可以与粘合层212间隔开,同时仍然在粘合层212和处理室之间提供完美的密封。
外层228包括可移除的、可更换的O型环或密封件。在一些实施例中,外层228被配置成在首先移除边缘环216之后容易地移除和替换以便能接触内层224。在其它实施例中,可以通过从处理室去除衬底支撑件200以便于更换外层228。外层228包括一种材料,其是高度耐等离子体的,例如Teflon,但也是弹性的并且耐压缩变形。外层228的材料可以被选择为具有对各种等离子体化学物质的耐腐蚀性,和/或可以被选择为具有对特定等离子体化学物质的耐腐蚀性。其它示例性材料包括但不限于纯硅酮、纯氟弹性体(例如氟化交联的碱(alkalines)),氟化低模量或柔性环氧树脂、其它柔性环氧树脂、氟化硅酮等。
外层228在陶瓷层204和基板212之间被压缩。内层被完全施加和固化,随后外层228围绕内层224的外周安装,以将处理室与内层224隔离。如图2A所示,外层228包括包含不同材料的芯232,例如全氟弹性体芯。例如,芯232包括具有比O形环更柔性的材料。仅示例,芯232具有比外层228低的模量。因此,外层228提供对等离子体蚀刻的抗性,而芯232提供弹性压缩性。尽管外层228被示出为具有圆形横截面,但是外层228可以具有任何合适的形状,包括但不限于x环、方形横截面等。
如图2B所示,外层228不包括芯232。在一些实施例中,内层224的外表面是凹形的,以为外层228提供界面。例如,内层224的外表面可以是凹形的,以允许外层228压缩并且减小粘合层212和衬底支撑件200的外边缘之间的由密封件220占据的总面积。尽管在内层224和外层228之间示出了间隙,但在一些实施例中,外层228可以与内层224直接相邻并接触(假设外层228包括不粘附到内层224并抑制外层228的去除和替换的材料)。在多个实施例中,内层224可以被过度填充、过度填充和加工、旋涂(spun on)等,以实现内层224的外表面的期望的轮廓(例如,凹形、凸形、平坦等)。
因此,由于外层228被布置在处理室和内层224之间,因而内层224将相对较少地暴露于处理室中的反应物质,而在处理室和粘合层之间提供气密密封。因此,外层228保护内层224不暴露于处理室,并且内层224将粘合层212与处理室隔离。
现在参考图3,根据本公开的原理的示例性方法300在304开始。在308,使用粘合层将陶瓷层粘合到衬底支撑件的基板。在312粘合层任选地被固化。在316,保护性密封件的内层(例如纯硅酮密封件)围绕粘合层的外周被施加在陶瓷层和基板之间。在320内层可选地被固化。在324,保护性密封件的外层(例如Teflon O型环)围绕内层安装。在328,方法300结束。
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定的实施例,但本公开的真实范围不应被如此限制,因为一旦研究附图、说明书和以下权利要求,其它的修改方案就会变得显而易见。应当理解的是,方法中的一个或多个步骤可以以不同的顺序(或同时)进行,而不会改变本公开的原理。此外,虽然各实施方式在上面描述为具有某些特征,但相对于本公开的任何实施方式所描述的这些特征中的任何一个或多个可以在任何其它实施方式中实现和/或结合任何其它实施方式中的特征,即使这种结合未明确说明也如此。换言之,所描述的实施方式不是相互排斥的,并且一个或多个实施方式相互的更换方案保持在本公开的范围内。
在元件之间(例如,在模块、电路元件、半导体层等等之间)的空间和功能关系使用各种术语描述,这些术语包括“连接”、“接合”、“耦合”、“相邻”、“紧接”、“在……顶部”、“在……上面”、“在……下面”和“被设置”。除非明确地描述为“直接”,否则当第一和第二元件之间的关系在上述公开内容中描述时,这种关系可以是直接的关系,其中没有其它中间元件存在于第一和第二元件之间,但也可以是间接的关系,其中一个或多个中间元件(或者在空间上或功能上)存在于第一和第二元件之间。如本文所用的,短语“A、B和C中的至少一个”应当解释为意味着使用非排他逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B,和至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气体流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、出入工具和其它传送工具和/或连接到特定系统或与特定系统交互的负载锁的晶片传送。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例性系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (10)

1.一种衬底处理系统中的衬底支撑件,所述衬底支撑件包括:
基板,
布置在所述基板上的陶瓷层,所述陶瓷层被布置成支撑衬底;
布置在所述陶瓷层和所述基板之间的粘合层;以及
围绕所述粘合层的外周布置在所述陶瓷层和所述基板之间的密封件,其中所述密封件包括:
内层,其形成在所述粘合层相邻处,其中所述内层包括第一材料,以及
外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间,其中所述外层包括第二材料。
2.根据权利要求1所述的衬底支撑件,其中所述第一材料是聚合物。
3.根据权利要求1所述的衬底支撑件,其中所述第一材料包括硅酮和环氧树脂中的至少一种。
4.根据权利要求1所述的衬底支撑件,其中所述第二材料是特氟龙。
5.根据权利要求1所述的衬底支撑件,其中所述外层包括O形环。
6.根据权利要求1所述的衬底支撑件,其中所述外层包括包含不同于所述第二材料的第三材料的芯。
7.根据权利要求6所述的衬底支撑件,其中所述第三材料是全氟弹性体聚合物。
8.根据权利要求6所述的衬底支撑件,其中所述第三材料具有比所述第二材料高的弹性。
9.根据权利要求1所述的衬底支撑件,其中所述第二材料具有比所述第一材料高的对等离子体的抗性。
10.一种方法,其包括:
在衬底处理系统中的衬底支撑件的基板上布置陶瓷层,所述陶瓷层被配置成支撑衬底;
在所述陶瓷层和所述基板之间布置粘合层;以及
围绕所述粘合层的外周在所述陶瓷层和所述基板之间布置密封件,其中所述密封件包括:
内层,其形成在所述粘合层相邻处,其中所述内层包括第一材料,以及
外层,其形成在所述内层相邻处使得所述内层在所述外层和所述粘合层之间,其中所述外层包括第二材料。
CN201710350799.0A 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束 Active CN107403747B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311216139.5A CN117276170A (zh) 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/157,959 2016-05-18
US15/157,959 US10340171B2 (en) 2016-05-18 2016-05-18 Permanent secondary erosion containment for electrostatic chuck bonds

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311216139.5A Division CN117276170A (zh) 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束

Publications (2)

Publication Number Publication Date
CN107403747A true CN107403747A (zh) 2017-11-28
CN107403747B CN107403747B (zh) 2023-10-13

Family

ID=60330399

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311216139.5A Pending CN117276170A (zh) 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束
CN201710350799.0A Active CN107403747B (zh) 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311216139.5A Pending CN117276170A (zh) 2016-05-18 2017-05-18 用于静电卡盘粘合剂的永久性二次侵蚀约束

Country Status (5)

Country Link
US (1) US10340171B2 (zh)
JP (2) JP7063545B2 (zh)
KR (2) KR102426700B1 (zh)
CN (2) CN117276170A (zh)
TW (2) TWI744328B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109962031A (zh) * 2017-12-22 2019-07-02 中微半导体设备(上海)股份有限公司 一种受保护的静电吸盘及其应用
CN111293068A (zh) * 2018-12-06 2020-06-16 东京毅力科创株式会社 基板支撑器、基板处理装置、基板处理系统及检测基板支撑器中的粘合剂的侵蚀的方法
CN114008738A (zh) * 2019-06-18 2022-02-01 朗姆研究公司 用于衬底处理系统的缩小直径承载环硬件

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11127619B2 (en) * 2016-06-07 2021-09-21 Applied Materials, Inc. Workpiece carrier for high power with enhanced edge sealing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10763081B2 (en) * 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11538713B2 (en) * 2017-12-05 2022-12-27 Lam Research Corporation System and method for edge ring wear compensation
JP6971183B2 (ja) * 2018-03-23 2021-11-24 新光電気工業株式会社 基板固定装置
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
WO2020112329A1 (en) * 2018-11-30 2020-06-04 Applied Materials, Inc. Film stack overlay improvement for 3d nand application
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
US20220181183A1 (en) * 2019-03-29 2022-06-09 Kyocera Corporation Gas plug, electrostatic attraction member, and plasma treatment device
JP7281374B2 (ja) * 2019-09-09 2023-05-25 日本特殊陶業株式会社 保持装置および保持装置の製造方法
JP7411431B2 (ja) * 2020-01-31 2024-01-11 新光電気工業株式会社 静電チャック、基板固定装置
KR102644585B1 (ko) * 2020-08-21 2024-03-06 세메스 주식회사 기판 처리 장치 및 이의 제조 방법
CN114464550A (zh) * 2020-11-09 2022-05-10 东京毅力科创株式会社 基片处理系统
CN114843165A (zh) * 2021-02-01 2022-08-02 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
WO2023224870A1 (en) * 2022-05-19 2023-11-23 Lam Research Corporation Replacement signaling seal

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
US20070131350A1 (en) * 2005-07-19 2007-06-14 Anthony Ricci Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20090290145A1 (en) * 2008-05-23 2009-11-26 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
US20150187614A1 (en) * 2013-12-26 2015-07-02 Lam Research Corporation Edge seal for lower electrode assembly

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0692156A1 (en) 1994-01-31 1996-01-17 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6682603B2 (en) 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4219927B2 (ja) * 2003-03-19 2009-02-04 東京エレクトロン株式会社 基板保持機構およびその製造方法、基板処理装置
US20070098978A1 (en) * 2003-11-21 2007-05-03 Hiroyuki Tanaka Surface-coated sealing material
US20060273277A1 (en) * 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
JP4942471B2 (ja) 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
JP2009290087A (ja) * 2008-05-30 2009-12-10 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
JP2010129845A (ja) * 2008-11-28 2010-06-10 Creative Technology:Kk 静電チャック及びその製造方法
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
KR20150013627A (ko) 2012-04-26 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US20150024517A1 (en) * 2013-07-19 2015-01-22 Texas Instruments Incorporated Plasma etcher chuck band

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
US20070131350A1 (en) * 2005-07-19 2007-06-14 Anthony Ricci Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20090290145A1 (en) * 2008-05-23 2009-11-26 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
US20150187614A1 (en) * 2013-12-26 2015-07-02 Lam Research Corporation Edge seal for lower electrode assembly

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109962031A (zh) * 2017-12-22 2019-07-02 中微半导体设备(上海)股份有限公司 一种受保护的静电吸盘及其应用
CN109962031B (zh) * 2017-12-22 2021-03-12 中微半导体设备(上海)股份有限公司 一种受保护的静电吸盘及其应用
CN111293068A (zh) * 2018-12-06 2020-06-16 东京毅力科创株式会社 基板支撑器、基板处理装置、基板处理系统及检测基板支撑器中的粘合剂的侵蚀的方法
CN114008738A (zh) * 2019-06-18 2022-02-01 朗姆研究公司 用于衬底处理系统的缩小直径承载环硬件
CN114008738B (zh) * 2019-06-18 2024-05-24 朗姆研究公司 用于衬底处理系统的缩小直径承载环硬件

Also Published As

Publication number Publication date
US10340171B2 (en) 2019-07-02
CN107403747B (zh) 2023-10-13
US20170338140A1 (en) 2017-11-23
TWI785812B (zh) 2022-12-01
KR102426700B1 (ko) 2022-07-27
US20190311935A1 (en) 2019-10-10
JP2022105059A (ja) 2022-07-12
CN117276170A (zh) 2023-12-22
TWI744328B (zh) 2021-11-01
KR20170130284A (ko) 2017-11-28
JP7063545B2 (ja) 2022-05-09
JP2017216441A (ja) 2017-12-07
KR102551996B1 (ko) 2023-07-05
TW201805472A (zh) 2018-02-16
KR20220107146A (ko) 2022-08-02
JP7401589B2 (ja) 2023-12-19
TW202206640A (zh) 2022-02-16

Similar Documents

Publication Publication Date Title
CN107403747A (zh) 用于静电卡盘粘合剂的永久性二次侵蚀约束
US20190271082A1 (en) Showerhead with reduced backside plasma ignition
US6451157B1 (en) Gas distribution apparatus for semiconductor processing
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
WO2016003631A1 (en) Showerhead having a detachable high resistivity gas distribution plate
KR20220147155A (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
US11127619B2 (en) Workpiece carrier for high power with enhanced edge sealing
TW201816838A (zh) 部分淨形與部分近淨形矽碳化物化學汽相沉積
CN106449504A (zh) 用于静电卡盘的具有凸状内表面的环形边缘密封件
KR20150044371A (ko) 미세-홈 형성된 비-점착성 표면을 갖는 설치 픽스처
CN110352481B (zh) 无螺栓衬底支撑件组件
US12074049B2 (en) Permanent secondary erosion containment for electrostatic chuck bonds
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
WO2024035589A1 (en) Vacuum seal for electrostatic chuck
WO2022108900A1 (en) Substrate support with uniform temperature across a substrate
WO2021167939A1 (en) High temperature substrate support with heat spreader
WO2020251809A1 (en) Coated o-ring for protecting an electro- static chuck in a plasma processing chamber
CN115362543A (zh) 具有整合式密封件的冷却边缘环

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant