TWI743742B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI743742B
TWI743742B TW109112412A TW109112412A TWI743742B TW I743742 B TWI743742 B TW I743742B TW 109112412 A TW109112412 A TW 109112412A TW 109112412 A TW109112412 A TW 109112412A TW I743742 B TWI743742 B TW I743742B
Authority
TW
Taiwan
Prior art keywords
metal
containing layer
dielectric layer
layer
chemical
Prior art date
Application number
TW109112412A
Other languages
English (en)
Other versions
TW202105612A (zh
Inventor
蕭茹雄
蔡俊雄
幸仁 萬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202105612A publication Critical patent/TW202105612A/zh
Application granted granted Critical
Publication of TWI743742B publication Critical patent/TWI743742B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Ceramic Engineering (AREA)

Abstract

一種方法,包括接收具有基板的結構、位於基板上的導電特徵、以及位於導電特徵上的介電層。此方法還包括在介電層中形成孔洞以暴露導電特徵;在孔洞的側壁上形成第一含金屬層;在孔洞中形成第二含金屬層,並且第二含金屬層被第一含金屬層環繞。第一含金屬層和第二含金屬層包括不同的材料。此方法還包括施加第一化學物以使介電層凹陷,從而導致第一含金屬層的頂部部分和第二含金屬層的頂部部分突出於介電層上;以及施加具有氟或氯的第二化學物至第一含金屬層的頂部部分,使第一含金屬層的頂部部分轉化為金屬氟化物或金屬氯化物。

Description

半導體結構及其形成方法
本揭示是關於一種半導體結構及其形成方法。
半導體積體電路(integrated circuit;IC)業正經歷指數性成長。積體電路的材料和設計方面的技術進步,已經產生了數代的積體電路,而每一代都具有比上一代更小以及更複雜的電路。在IC革新的過程中,功能密度(即,每個晶片區域的互連元件的數量)一般已經增加,而幾何尺寸(即,製程可產生的最小部件(或線))則已縮小。這種縮小尺寸的製程通常通過提高生產效率和降低相關成本來提供收益。這樣的縮小尺寸也增加了處理和製造積體電路的複雜性。
例如,當在積體電路中形成互連結構(包括觸點、通孔、導線等)時,金屬元素可能會從其預期位置擴散和/或遷移。這可能是蝕刻製程、化學機械平坦化(chemical mechanical planarization;CMP)製程或其他對金屬層執行的其他製程所導致。那些擴散的金屬元素可能導 致緊密放置的導電特徵之間短路,例如在源極/汲極觸點以及附近的閘極觸點之間或兩條相鄰的金屬線之間。需要能夠消除那些金屬擴散的方法。
本揭露之一態樣是提供一種形成半導體結構的方法,包含:接收具有基板的結構、位於基板上的導電特徵、以及位於導電特徵以及基板上的介電層。此方法還包括在介電層中形成孔洞,孔洞暴露導電特徵;形成第一含金屬層至少於孔洞的複數側壁上;形成第二含金屬層於孔洞中,並且第二含金屬層被第一含金屬層環繞,其中第一含金屬層和第二含金屬層包括不同的材料;施加第一化學物以使介電層凹陷,從而導致第一含金屬層的頂部部分和第二含金屬層的頂部部分突出於介電層上;以及施加具有氟或氯的第二化學物至第一含金屬層的頂部部分,使第一含金屬層的頂部部分轉化為金屬氟化物或金屬氯化物。
本揭露之另一態樣是提供一種形成半導體結構的方法,包含:接收具有基板的結構、位於基板上的導電特徵、以及位於導電特徵以及基板上的介電層,介電層具有氧化矽。此方法還包括在介電層中蝕刻一孔洞,孔洞暴露導電特徵;沉積第一含金屬層於孔洞的底部以及複數側壁,第一含金屬層具有過渡金屬或過渡金屬氮化物;沉積形成第二含金屬層於孔洞中、第一含金屬層上、以及介電層上,其中第一含金屬層以及第二含金屬層包括不同的材料;對 第二含金屬層進行化學機械平坦化(CMP)製程以暴露介電層;施加第一化學物以使介電層凹陷,從而導致第一含金屬層的頂部部分和第二含金屬層的頂部部分突出於介電層上;以及施加具有氟或氯的第二化學物至第一含金屬層的頂部部分,使第一含金屬層的頂部部分轉化為金屬氟化物或金屬氯化物。
本揭露之再一態樣是半導體結構,包含:基板、導電特徵在基板上、介電層在導電特徵以及基板上、以及一結構沉積於導電特徵上並且至少部分被介電層所環繞。此結構包括第一含金屬層和被第一含金屬層環繞的第二含金屬層。第一含金屬層和第二含金屬層包括不同的材料。第一含金屬層的下部分包括過渡金屬或過渡金屬氮化物,並且第一含金屬層的上部分包括過渡金屬氟化物或過渡金屬氯化物。
10:方法
12、14、16、18、20、22、24、26、28、30、32、34、36、38、40、42:操作
100:半導體元件
110:基板
114:電晶體通道
120:閘極結構
122:高k介電層
124:功函數金屬層
126:金屬填充層
128:覆蓋層
150:閘極隔片
160:源極/汲極特徵
170:介電層
240:介電層
242:孔洞
300:含金屬層
302:矽化物
304:含金屬層
305:第一化學物
307:第二化學物
308:金屬化合物
310:源極/汲極接觸
400:介電層
402:通孔
404:含金屬層
405:第三化學物
406:含金屬層
407:第四化學物
408:金屬化合物
X:X軸
Y:Y軸
Z:Z軸
當與所附圖式一起閱讀時,本揭露內容可自以下詳細的描述而得到最好的理解。要強調的是,根據產業的標準做法,各個特徵並不按尺寸繪製,而僅用來說明目的。事實上,為了清楚地討論,各個特徵的尺寸可任意地增加或減小。
第1A、1B以及1C圖為根據本揭露的一些實施態樣所繪示的在半導體元件中形成互連結構及/或特徵的流程圖。
第2A、2B、2C、2D、2E、2F、2G、2H、3A、3B、3C、3D、3E、3F、以及第3G圖為根據本揭露的一實施方式中的第1A至1C圖所繪示的半導體結構的製造過程的橫截面圖。
第2I以及3H圖為根據本揭露的一實施方式中,根據第1A至1C圖的方法的製造過程中的的半導體結構的上視圖。
可以理解的是,下述內容提供的不同實施方式或實施例可實施本揭露之標的不同特徵。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,以下所述之第一特徵形成於第二特徵上的敘述包含兩者直接接觸,或兩者之間隔有其他額外特徵而非直接接觸。此外,本揭露在多個實施例中可重複參考數字及/或符號。這樣的重複是為了簡化和清楚,而並不代表所討論的各實施例及/或配置之間的關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一特徵與另一特徵在圖示中的相對關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含在使用或操作中的設備之不同定向。置/設備可經其他方式定向(旋轉90度或處於其他定向)且因此可同樣地解讀本揭露所使用之空間相對性描述詞。此外,當一個數字或是一個數字 範圍以「大約」、「大概」等術語來描述,除非另外說明,否則這樣的術語旨在涵蓋在數字的+/- 10%以內的數字。例如,術語「約5毫米」涵蓋從4.5毫米至5.5毫米的尺寸範圍。
本揭露的一些實施方式涉及半導體元件和製造方法,並且更具體地涉及在半導元件中製造互連結構。互連結構可包括嵌入一或多個介電層或由一或多個介電層所環繞的第一導電特徵,以及沉積在第一導電特徵上並電性連接第一導電特徵的第二導電特徵。第一和第二導電特徵可以包括源極/汲極電極、閘極電極、源極/汲極觸點(或接觸塞)、源極/汲極觸點通孔(或通孔塞)、閘極通孔、其他通孔、金屬線和其他導電性元素。可以通過在介電層中蝕刻孔洞以暴露第一導電特徵,在孔洞中沉積一種或多種金屬材料,以及通過蝕刻或CMP製程移除過量的金屬材料,來製造互連結構。在蝕刻或CMP製程中,金屬殘留物可能會擴散或遷移,如果處理不當,可能會導致短路或其他製造缺陷。本揭露的一些實施方式的一目的是用一些化學物處理這種金屬殘留物,以產生穩定的金屬化合物。
具體地,本揭露的一些實施例可以沉積金屬或金屬氮化物作為IC互連的導電特徵的一部分,並且進一步施加包含氟或氯的化學物以將金屬或金屬氮化物的殘留物轉化為金屬氟化物或金屬。金屬氟化物和金屬氯化物是穩定的(例如,它們不與周圍的介電層中的氧反應)。因此,可避免擴散的金屬元素造成的製造缺陷。本揭露的一些實施方 式在CMP製程之後使用兩步驟清潔和蝕刻製程。第一步驟施加濃度相對較低的第一化學物以使介電層凹陷,而第二步施加濃度相對較高的第二化學藥品以與金屬反應。這兩個步驟共同去除金屬殘留物並產生穩定的金屬化合物。本揭露中的一些態樣可參照第1A至1C圖、第2A至2I圖和第3A至3H圖進一步討論。
第1A至1C圖繪示根據一實施方式所形成的半導體元件100的方法10的流程圖。方法10僅是實施例,並且不將本揭露內容限制於請求項所明確記載的內容。可以在方法10之前、期間和之後進其他操作,並且在此方法的其他實施'方式中,可以替換,消除或移動所描述的一些操作。方法10,結合第2A至3H圖一併描述於後,其中描述了根據方法10的製造步驟中的半導體元件100的各種橫截面圖和上視圖。此外,半導體元件100可以是在積體電路(IC)或其部分的處理期間製造的中間元件,其可以包括靜態隨機存取存儲器(static random access memory;SRAM)、其他儲存單元及/或其他邏輯電路、被動元件例如電阻器、電容器和感應器,以及主動組件例如p型場效電晶體(p-type field effect transistors;PFET)、n型FET(n-type FET;NFET)、金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistors;MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor;CMOS)電晶體、鰭式場效電晶體(Fin Field-effect transistor;FinFETs)、環繞式閘極(gate-all-around;GAA)奈米線電晶體、GAA奈米片電晶體、其他多閘極電晶體、雙極電晶體、高壓電晶體、高頻電晶體及其組合。
參照第1A圖,在操作12中,方法10提供包括基板、以及基板上的多種特徵與多層的半導體元件100。第2A圖揭示半導體元件100的一實施例。參照第2A圖,半導體元件100包括基板110和構建在基板110中或其上方的各種部件,包括電晶體通道114、在電晶體通道114上的閘極結構120、在閘極結構120側壁上的閘極隔片150、在電晶體通道114的兩側並且與閘極隔片150相鄰的源極/汲極特徵160、以及介電層170和240。介電層170設置於源極/汲極特徵160上方以及閘極隔片150之間。介電層240設置在介電層170、閘極結構120和閘極隔片150上方。半導體元件100可以包括在第2A圖中未示出的其他部件(例如在源極/汲極特徵160上的接觸蝕刻停止層)。下面進一步描述半導體元件100的各種部件。
在本揭露的一實施方式中,基板110是矽基板,例如矽晶片。替代地,基板110可以包括另一半導體,例如鍺;一種化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和銻化銦;合金半導體,包括矽鍺、磷化砷化鎵、磷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦和磷化砷化鎵銦;或其組合。
電晶體通道114和源極/汲極特徵160可以形成 在半導體元件100的主動區(未標記)之中或之上。主動區可以具有平面形狀(用於平面MOSFET)、三維形狀(例如鰭(用於FinFET)、垂直堆疊的多個半導體層(用於GAA FET))或其他合適的形狀。電晶體通道114可以包括矽、鍺、矽鍺或其他合適的半導體材料;並且可以摻雜或不摻雜。源極/汲極特徵160可以包括淺摻雜源極/汲極(Lightly Doped source/Drain;LDD)特徵,高摻雜源極/漏極(Highly Doped source/Drain;HDD)特徵或其他摻雜結構。源極/汲極特徵160可以包括用於NFET元件的n型摻雜矽、用於PFET元件的p型摻雜矽鍺或其他摻雜半導體材料。此外,源極/汲極特徵160可以包括外延生長的半導體材料,或是被提升或加壓以增強性能。特別的是,源極/汲極特徵160是導電的。
閘極結構120可以包括多晶矽閘極、高k金屬閘極或另一合適的閘極結構,其中通常包括在閘極介電層上的閘極導體。第2A圖例示包括高k金屬閘極結構的實施例。如圖所示,閘極結構120包括高k介電層122、功函數金屬層124、金屬填充層126和覆蓋層(或硬遮罩層)128。在一些實施方式中,閘極結構120可以包括第2A圖中未示出的其他層(例如界面層及/或阻擋層)高k介電層122可以包括一種或多種高k介電材料(或一或多層高k介電材料),例如氧化鉿矽(HfSiO)、二氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3)或 其組合。高k介電層122可以利用原子層沉積(Atomic Layer eposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)或其他沉積技術來形成。功函數金屬層124可以根據元件的類型(PFET或NFET)而包括p型或n型功函數層。p型功函數層可以包括氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鎢(W)、鉑(Pt)、其他合適的金屬或其組合。n型功函數層可以包括鈦(Ti)、鋁(Al)、碳化鉭(TaC)、氮化碳化鉭(TaCN)、氮化鉭矽(TaSiN)、氮化鈦矽(TiSiN)、其他合適的金屬、或其組合。功函數金屬層124可以利用ALD、CVD、PVD或其他技術形成。金屬填充層126可以包括鋁(Al)、鎢(W)、鈷(Co)及/或其他合適的材料;也可利用ALD、CVD、PVD、電鍍或其他技術形成。覆蓋層128可以包括氮化矽或其他合適的介電材料;並且可以通過CVD、PVD、ALD或其他技術形成。
閘極隔片150可以包括例如氧化矽、氮化矽、氮氧化矽、碳化矽、其他介電材料或其組合的介電材料,並且可包括一或多層材料。閘極隔片150可以利用CVD、PVD、ALD或其他技術形成。
介電層170可包括氧化矽、氮氧化矽、原矽酸四乙酯(tetraethylorthosilicate;TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽例如硼磷矽玻璃(borophosphosilicate glass;BPSG)、氟矽玻璃 (fluorosilicate glass;FSG)、磷矽玻璃(phosphosilicate glass;PSG)、其他低k介電材料及/或其他合適的介電材料。介電層170可以使用電漿增強CVD(plasma enhanced CVD;PECVD)、流動式CVD(flowable CVD;FCVD)或其他合適的方法形成。介電層170可以被稱為ILD-0層,其中ILD代表層間介電層。
當介電層240沉積在ILD-0層170上方時,可被稱為ILD-1層。介電層170和240可以包括相同或相似的材料。例如,介電層240可包括氧化矽、氮氧化矽、TEOS氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽例如BPSG、FSG、PSG、其他低k介電材料及/或其他合適的介電材料。介電層240可以利用PECVD、FCVD或其他合適的方法形成。半導體元件100可以包括在介電層240與其下方的結構之間的接觸蝕刻停止層(contact etch stop layer;CESL)。CESL可以包括氮化矽、氮氧化矽,具有氧(O)或碳(C)元素的氮化矽及/或其他材料;並且可以利用CVD、PVD、ALD或其他合適的方法形成。
在操作14中,方法10(第1A圖)在介電層240和介電層170中蝕刻孔洞242以暴露源極/汲極元件160。在一些實施方式中,根據上視圖,孔洞242具有橢圓形、圓形、矩形及/或圓角矩形。所得半導體元件100例示於第2B圖。在一實施方式中,操作14包括光微影術製程和一 或多個蝕刻製程。例如,光微影術製程可以包括在半導體元件100上方沉積一或多個硬遮罩層,在硬遮罩層上方塗覆光阻層,圖案化光阻層以形成抗蝕遮罩,利用抗蝕遮罩蝕刻硬遮罩層和介電層240與介電層170,然後移除抗蝕遮罩和硬掩模層。蝕刻製程可包括乾蝕刻、濕蝕刻、反應離子蝕刻(reactive ion etching;RIE)及/或其他被設計用於蝕刻介電層240和介電層170的合適的材料。例如,乾蝕刻製程可以實施含氧氣體、含氟氣體(例如CF4、SF6、CH2F2、CHF3及/或C2F6)、含氯氣體(例如Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如HBr及/或CHBR3)、含碘氣體、其他合適的氣體及/或游離氧及/或其組合。例如,濕蝕刻製程可以包括在稀氫氟酸(HF)、氫氧化鉀(KOH)溶液、氨、含氫氟酸(HF)溶液、硝酸(HNO3)及/或乙酸(CH3COOH)、或其他合適的濕蝕刻劑中進行蝕刻。源極/汲極元件160的頂部部分也可以利用操作14蝕刻。孔洞242有時被稱為源極/汲極觸點孔洞。
在操作16中,方法10(第1A圖)在孔洞242中形成含金屬層300。所得半導體元件100例示於第2C圖如第2C圖所示,含金屬層300至少形成於孔洞242的側壁上。在本實施方式中,含金屬層300也形成在孔洞242的底表面上以及介電層240的頂表面上。含金屬層300可使用CVD、PVD、ALD或其他合適的技術形成,並且在一些實施方式中,可以形成具有實質上均勻的厚度(即,共形的)或在替代性的實施方式中具有不均勻的厚度。含 金屬層300可包括金屬層、金屬氮化物層或其組合。在一實施方式中,含金屬層300包括過渡金屬、過渡金屬氮化物或其組合。例如,含金屬層300可包括鈦(Ti)、氮化鈦(TiN)或其組合。在一些實施方式中,含金屬層300可包括鈷(Co)、鎳(Ni)、鈮(Nb)、釕(Ru)、銠(Rh)、鎢(W)和錸(Re)中的一種,或這些金屬其中之一的氮化物。
在操作18中,方法10(第1A圖)退火半導體元件100,以在源極/汲極特徵160上形成矽化物302。所得半導體元件100例示於第2D圖。例如,操作18可以在合適的溫度下執行合適的時間,以引起含金屬層300和在源極/汲極特徵160中的半導體材料之間的反應,從而形成矽化物302。例如,當含金屬層300包括鈦時,操作18可包括在約700℃至800℃下進行1秒至240秒的快速熱退火(rapid thermal annealing;RTA)以形成矽化鈦(TiSi)及/或二矽化鈦(TiSi2)。在多種實施例中,在孔洞242的底表面處的含金屬層300的部分可以完全或部分地轉換成矽化物302。操作18可以使用RTA、毫秒退火(milli-second annealing;MSA)或其他退火技術。
在操作20中,方法10(第1A圖)沉積另一含金屬層304以填充孔洞242的剩餘空間。如第2E圖所示,含金屬層304沉積在矽化物302以及含金屬層300上方。在本實施方式中,含金屬層304也沉積在介電層240上的 含金屬層300的部分上。含金屬層304可以包括W、Co、Ru、其他金屬、金屬氮化物例如氮化鈦(TiN),氮化鈦鋁(TiAlN)、氮化鎢(WN)、氮化鉭(TaN)或其組合。含金屬層304可以通過CVD、PVD、電鍍及/或其他合適的製程來形成。
在操作22中,方法10(第1A圖)執行CMP製程以部分地移除(或減薄)含金屬層304,並暴露介電層240。介電層240也可以利用CMP製程部分地去除。所得半導體元件100例示於第2F圖在這個製造階段中,半導體元件100的頂表面是基本平坦的。然而,一些金屬殘留物(或顆粒)可能出現在介電層240的頂表面上。此外,源於含金屬層300的一些金屬殘留物可能出現在含金屬層304的頂表面上。由於這些金屬殘餘物可能包含與氧具有很高反應性的一種或多種過渡金屬,如果不去除,它們可能會與介電層240或沉積在介電層240和含金屬層304頂部分的其他介電層中的氧元素發生反應,從而導致製造缺陷。
在操作24中,方法10(第1B圖)施加第一化學物305以使介電層240凹陷。因此,如第2G圖所示,含金屬層300的頂部部分和含金屬層304的頂部部分延伸於介電層240上。在一實施方式中,化學物305包括低濃度的稀氫氟酸。例如:稀氫氟酸中,在去離子(deionized;DI)水中的氟化氫的濃度為約0.1%或是更低。稀氫氟酸在這樣濃度下,能使介電層240(在一實施例中主要是二 氧化矽)凹陷,但是不能與含金屬層300和304良好地反應。在另一個實施例中,化學物305包括低濃度的稀氫氯酸(HCl)(或氯烷)。例如:稀氫氯酸中,在去離子(deionized;DI)水中的氯化氫的濃度為約0.1%或是更低。在一些實施方式中,操作24還可以去除介電層240頂部的一些金屬殘留物。介電層240可以凹陷幾奈米,例如約2奈米。凹槽的深度由第一化學物305的濃度、操作24的持續時間和其他因素決定。例如,操作24可以施加濃度為0.1%的稀氫氟酸作用約6至18秒,以使介電層240凹陷約1至5奈米。在一些實施方式中,凹槽的深度控制為小於5奈米,以避免介電層240過多的損失。
在操作26中,方法10(第1B圖)將第二種化學物307施加到含金屬層300暴露的頂部部分,並將其轉換為金屬化合物308。所得半導體元件100例示於第2H圖以及第2I圖。第二化學物307被設計為可有效地將含金屬層300的暴露的頂部部分轉換為穩定的金屬化合物308,而不會使介電層240顯著地凹陷。在本實施方式中,分別地,第二化學物307包括氟或氯成分,而金屬化合物308包括金屬氟化物或金屬氯化物。在介電層240的頂表面上存在金屬殘留物的實施方式中,第二化學物307還將這些金屬殘留物轉化成穩定的金屬化合物,例如金屬氟化物或金屬氯化物。化學物307還可與含金屬層304反應,以在含金屬層304的頂表面上產生一些金屬化合物。這些金屬化合物將在隨後的過程中被移除(例如,如第3B圖所示, 在含金屬層304上蝕刻通孔時)。
在一個實施方式中,第二化學物307與第一化學物305具有相同的成分,但是化學濃度較高。將設計化學物305的濃度設計較低,可使介電層240(例如:主要具有二氧化矽)凹陷,但是不能與含金屬層300(例如:具有過渡金屬或過渡金屬氮化物)良好地反應。化學物307中濃度設計較高為與含金屬層300良好地反應。
例如,化學物305和307可以是稀氫氟酸,但與化學物305相比,化學物307在去離子水中的氟化氫濃度更高。例如,化學物307在去離子水中的氟化氫濃度可以比化學物305至少高10倍。在至少一個實施例中,化學物307在去離子水中的氟化氫濃度為約1%或更高,而化學物305在去離子水中的氟化氫濃度為約0.1%或更低。濃度為1%或更高的稀氫氟酸與含金屬層300反應良好。然而,它也蝕刻介電層240。為了避免電介電層240的過多損失,在一些實施方式中,將化學物307在去離子水中的氟化氫濃度設計為約1%至2%。在這些實施例中,金屬化合物308包括金屬氟化物。例如,當含金屬層300包括鈦時,金屬化合物308包括氟化鈦(例如,TiF3)。
在另一實施例中,化學物305和307都可以是稀氫氯酸,但是化學物307在去離子水中的氯化氫濃度比化學物305高。例如,化學物307在去離子水中的氯化氫濃度可能比化學物305至少高10倍。在至少一實施例中,化學物307在去離子水中的氯化氫濃度為約1%或更高 (例如約1%至2%),而化學物305在去離子水中的氯化氫濃度為約0.1%或更低。在這些實施例中,金屬化合物308包括金屬氯化物。例如,當含金屬層300包括鈦時,金屬化合物308包括氯化鈦(例如,TiCl3)。
在另一實施方式中,這兩種化學物305和307具有不同的成分,其中化學物305被設計為對介電層240比對含金屬層300具有更高的蝕刻選擇性(更高的蝕刻速率),而化學物307被設計為對於含金屬層300比對於介電層240具有更高的蝕刻選擇性。例如,化學物305可以是低濃度(例如0.1%或更低)的稀氫氟酸,而化學物307可以是例如1%或更高的較高濃度(例如約1%至2%的氯化氫)的稀氫氯酸。在這個實施例中,化學物305與含金屬層300不會很好地反應,但是仍能有效地蝕刻介電層240。同時,化學物307與含金屬層300很好地反應,儘管它也輕微蝕刻介電層240。在這個實施例中,金屬化合物308包括金屬氯化物。例如,當含金屬層300包括鈦時,金屬化合物308包括氯化鈦(例如,TiCl3)。
第2I圖繪示在這個製造階段中的半導體元件100的部分上視圖。如圖所示,金屬化合物308環繞含金屬層304。在這個實施例中,金屬化合物308和含金屬層304兩者大致上為圓形。然而,在多種實施方式中,它們可以具有其他形狀,例如大致上為矩形或不規則形。金屬化合物308是化學上穩定的,並且不與氧反應。介電層240的頂表面基本上沒有任何反應性金屬元素,例如過渡金屬或 過渡金屬氮化物。因此,通過在操作22的CMP製程之後執行操作24和26,方法10有效地從介電層240的頂表面移除任何反應性金屬元素,從而防止上述的金屬擴散缺陷。此外,包括含金屬層300和304以及金屬化合物308的結構成為源極/汲極觸點(或接觸塞)310。如第2H圖所示,源極/汲極接觸310的下部包括被含金屬層300環繞的含金屬層304的下部,並且源極/汲極接觸310的上部包括被金屬化合物308環繞的含金屬層304的上部。源極/汲極接觸310的上部在介電層240的頂表面上方延伸。源極/汲極接觸310直接設置於源極/汲極特徵160上的矽化物302之上。
方法10可以繼續在半導體元件100上建立互連結構。例如,方法10可以通過執行第1B圖以及第1C圖中的操作28至操作40,來產生設置於源極/汲極接觸310上的通孔結構。操作28至40的許多態樣類似於上述說明的操作12至26。因此,操作28至操作40會結合第3A圖至第3H圖,簡要說明於下。
在操作28中,方法10(第1B圖)在介電層240和源極/汲極接觸310上形成另一介電層400。所得半導體元件100例示於第3A圖介電層400可以包括與介電層240相同或相似的材料。在一實施方式中,介電層240可包括氧化矽、氮氧化矽、TEOS氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽例如BPSG、FSG、PSG、其他低k介電材料及/或其他合適的介電材料。介電層240可以利 用PECVD、FCVD或其他合適的方法形成。半導體元件100可以包括在介電層400、介電層240、以及源極/汲極接觸310之間的接觸蝕刻停止層(CESL)。在一些實施方式中,介電層400可被稱為ILD-2層。
在操作30中,方法10(第1B圖)在介電層400中蝕刻通孔402以暴露在其下方的一些導電特徵,例如源極/汲極接觸310及/或閘極結構120。所得半導體元件100例示於第3B圖。如第3B圖所示,通孔402暴露源極/汲極接觸310,以形成源極/汲極接觸通孔。儘管圖未示,但可以在閘極結構120的正上方蝕刻一些通孔402以形成閘極通孔。操作30類似於上述說明的操作14。例如,操作30包括光微影術製程以形成蝕刻遮罩,以及利用蝕刻遮罩蝕刻介電層400的蝕刻製程。
在操作32中,方法10(第1B圖)在通孔402中形成含金屬層404。所得半導體元件100例示於第3C圖。如第3C圖所示,在通孔402的底表面和側壁上以及介電層400的頂表面上形成含金屬層404。含金屬層404可使用CVD、PVD、ALD或其他合適的技術形成,並且在一些實施方式中,可以形成具有實質上均勻的厚度(即,共形的)或在替代性的實施方式中的不均勻的厚度。含金屬層404可包括金屬層、金屬氮化物層或其組合。在一實施方式中,含金屬層404包括過渡金屬、過渡金屬氮化物或其組合。例如,含金屬層404可包括鈦(Ti)、氮化鈦(TiN)或其組合。操作32的態樣類似於操作16。
在操作34中,方法10(第1C圖)形成一含金屬層406以填充通孔402的剩餘空間。如第3D圖所示,含金屬層406沉積在含金屬層404上方。含金屬層406可以包括銅或其他金屬,例如W、Co或Ru;並且利用CVD、PVD、電鍍及/或其他合適的製程來形成。操作34的態樣類似於操作20。
在操作36中,方法10(第1C圖)執行CMP製程以部分地移除(或減薄)含金屬層406,並暴露介電層400。介電層400也可以利用CMP製程部分地去除。所得半導體元件100例示於第3E圖操作36的態樣類似於操作22。在這個製造階段中,半導體元件100的頂表面是基本平坦的。然而,一些金屬殘留物(或顆粒)可能出現在介電層400的頂部上。由於這些金屬殘餘物可能包含與氧具有很高反應性的過渡金屬,如果不去除,它們可能會與介電層400或沉積在介電層400和含金屬層406頂部的各層中的氧元素發生反應,從而導致製造缺陷。
在操作38中,方法10(第1C圖)施加第三化學物405以使介電層400凹陷。因此,如第3F圖所示,含金屬層404的頂部部分和含金屬層406的頂部部分延伸於介電層400上。操作38的態樣類似於操作24。化學物405可以被設計為與以上說明的化學物305相同或相似。例如,化學物405可以是低濃度(例如約0.1%或更低)的稀氫氟酸或是稀氫氯酸。
在操作40中,方法10(第1C圖)將第四化學物 407施加到含金屬層404暴露的頂部部分,並將其轉換為金屬化合物408。所得半導體元件100例示於第3G圖以及第3H圖。操作40的態樣類似於操作26。第四化學物407可以被設計為與以上說明的化學物307相同或相似。例如,第四化學物407可以是相對高濃度(例如約1%、或更高、或約1%至2%)的稀氫氟酸或是稀氫氯酸。金屬化合物408包括金屬氟化物或金屬氯化物。例如,當含金屬層404包括鈦時,金屬化合物408包括氟化鈦(例如,TiF3)或氯化鈦(例如,TiCl3)。
在一實施方式中,化學物405可以是例如0.1%或更低的低濃度的稀氫氟酸,而第四化學物407可以是例如1%或更高的較高濃度的稀氫氯酸(例如約1%至2%)。在這個實施例中,化學物405與含金屬層404不會很好地反應,但是仍能有效地蝕刻介電層400。同時,第四化學物407與含金屬層404很好地反應,儘管它也輕微蝕刻介電層400。在這個實施例中,金屬化合物408包括金屬氯化物,例如氯化鈦(例如,TiCl3)。
第3H圖繪示在這個製造階段中的半導體元件100的部分上視圖。如圖所示,金屬化合物408環繞含金屬層406。在這個實施例中,金屬化合物408和含金屬層406兩者大致上為圓形。然而,在多種實施方式中,它們可以具有其他形狀,例如大致上為矩形或不規則形。金屬化合物408是化學上穩定的,並且不與氧反應。介電層400的頂表面基本上沒有任何例如過渡金屬或過渡金屬氮化物 的反應性金屬元素。因此,通過在操作36中的CMP製程之後執行操作38和40,方法10有效地從介電層400的頂表面移除任何反應性金屬元素,從而防止上述的金屬擴散缺陷。此外,包括含金屬層404和406以及金屬化合物408的結構成為源極/汲極觸點通孔410。如第3G圖所示,源極/汲極觸點通孔410的下部包括被含金屬層404環繞的含金屬層406的下部,並且源極/汲極觸點通孔410的上部包括被金屬化合物408環繞的含金屬層406的上部。源極/汲極觸點通孔410的上部在介電層400的頂表面上方延伸。儘管圖未示,半導體元件100可包括設置於閘極結構120上並與其電性連接的閘極通孔120,其中閘極通孔120與源極/汲極觸點通孔410具有相似的結構。
在操作42中,方法10(第1C圖)執行後續製程以完成半導體元件100的製造。例如,方法10可以形成連接到源極/汲極觸點通孔410和閘極通孔的導線,並且可以重複上述操作以形成更高等級的互連結構。
儘管不旨在限制,但是本揭露的一個或多個實施方式為半導體元件及其形成提供了許多益處。舉例而言,本揭露的實施方式提供了使用兩步驟清潔(或蝕刻)製程從介電層移除金屬殘留物的方法。清潔過程會將反應性金屬殘留物轉化為穩定的金屬化合物,以防止金屬擴散的製造缺陷。此外,本揭露的實施方式可以容易地結合到現有的半導體製造過程中。
在一種態樣中,本揭露涉及一種方法。此方法接收 具有基板的結構、位於基板上的導電特徵、以及位於導電特徵以及基板上的介電層。此方法還包括在介電層中形成孔洞,孔洞暴露導電特徵;形成第一含金屬層至少於孔洞的複數側壁上;形成第二含金屬層於孔洞中,並且第二含金屬層被第一含金屬層環繞,其中第一含金屬層和第二含金屬層包括不同的材料;施加第一化學物以使介電層凹陷,從而導致第一含金屬層的頂部部分和第二含金屬層的頂部部分突出於介電層上;以及施加具有氟或氯的第二化學物至第一含金屬層的頂部部分,使第一含金屬層的頂部部分轉化為金屬氟化物或金屬氯化物。
在一些實施方式中,第一化學物包括稀氫氟(HF)酸,以及第二化學物包括稀氫氯(HCl)酸。在一些實施方式中,稀氫氟酸具有氟化氫在去離子水中的濃度為約0.1%或是更低,以及稀氫氯酸具有氯化氫在去離子水中的濃度為約0.1%或是更高。
在一實施方式中,含金屬層包括過渡金屬、過渡金屬氮化物或其組合。在其他實施方式中,過渡金屬或過渡金屬氮化物包括鈦、鈷、鎳、鈮、釕、銠、鎢以及錸中的其中之一。
在其他實施方式中,形成第二含金屬層的步驟包括沉積第二含金屬層於介電層上。此方法更包括施加化學機械平坦化製程於第二含金屬層以暴露介電層。
在此方法的一實施方式中,第一化學物包括稀氫氟酸,稀氫氟酸具有氟化氫在去離子水中的第一濃度為約 0.1%或是更低,以及第二化學物包括另一稀氫氟酸,另一稀氫氟酸具有氟化氫在去離子水中的第二濃度,第二濃度至少較第一濃度高10倍。在一其他實施方式中,第二濃度介於約1%至2%之間。
在一實施方式中,介電層包括氧化矽。在一實施方式中,其中導電特徵包括摻雜的半導體,此方法更包括在形成第一含金屬層之後,退火第一含金屬層以及導電特徵,從而使第一含金屬層和導電特徵之間形成金屬矽化物。
在另一種態樣中,本揭露涉及一種方法。此方法包括接收具有基板的結構、位於基板上的導電特徵、以及位於導電特徵以及基板上的介電層,介電層具有氧化矽。此方法還包括在介電層中蝕刻一孔洞,孔洞暴露導電特徵;沉積第一含金屬層於孔洞的底部以及複數側壁,第一含金屬層具有過渡金屬或過渡金屬氮化物;沉積形成第二含金屬層於孔洞中、第一含金屬層上、以及介電層上,其中第一含金屬層以及第二含金屬層包括不同的材料;對第二含金屬層進行化學機械平坦化(CMP)製程以暴露介電層;施加第一化學物以使介電層凹陷,從而導致第一含金屬層的頂部部分和第二含金屬層的頂部部分突出於介電層上;以及施加具有氟或氯的第二化學物至第一含金屬層的頂部部分,使第一含金屬層的頂部部分轉化為金屬氟化物或金屬氯化物。
在此方法的一實施方式中,第一化學物包括稀氫氟(HF)酸,以及第二化學物包括稀氫氯(HCl)酸。在一其 他實施方式中,稀氫氟酸具有氟化氫在去離子水中的濃度為約0.1%或是更低,以及稀氫氯酸具有氯化氫在去離子水中的濃度為約1%至2%。
在此方法的其他實施方式中,第一化學物包括稀氫氟(HF)酸,稀氫氟酸具有氟化氫在去離子水中的第一濃度為約0.1%或是更低,以及第二化學物包括另一稀氫氟酸,另一稀氫氟酸具有氟化氫在去離子水中的第二濃度為約1%至2%。在另一實施方式中,第一含金屬層包括鈦、氮化鈦、鉭、以及氮化鉭中的其中之一。
在另一種態樣中,本揭露涉及一種半導體結構。半導體結構包括基板、導電特徵在基板上、介電層在導電特徵以及基板上、以及一結構沉積於導電特徵上並且至少部分被介電層所環繞。此結構包括第一含金屬層和被第一含金屬層環繞的第二含金屬層。第一含金屬層和第二含金屬層包括不同的材料。第一含金屬層的下部分包括過渡金屬或過渡金屬氮化物,並且第一含金屬層的上部分包括過渡金屬氟化物或過渡金屬氯化物。
半導體結構的一實施方式中,第一含金屬層的下部分包括鈦或氮化鈦,並且第一含金屬層的上部分包括氟化鈦或氯化鈦。半導體結構的另一實施方式中,第一含金屬層的下部分包括鉭或氮化鉭,並且第一含金屬層的上部分包括氟化鉭或氯化鉭。
在一實施方式中,第一含金屬層包括鈦或鉭,並且第二含金屬層包括鎢、鈷、釕或銅。在一實施方式中,半 導體結構更包括金屬矽化物在導電特徵和第一含金屬層之間。
前述概述了幾個實施方式的特徵,使得本領域技術人員可以更好地理解本揭示的態樣。本領域技術人員應當理解,他們可以容易地將本揭示用作設計或修改其他過程和結構的基礎,以實現與本文介紹的實施方式相同的目的和/或實現相同的優點。本領域技術人員也應當理解,這樣的等效構造不脫離本揭示的精神和範圍,並且在不脫離本揭示的精神和範圍的情況下,它們可以在這裡進行各種改變、替換和變更。
100:半導體元件
110:基板
114:電晶體通道
120:閘極結構
150:閘極隔片
160:源極/汲極特徵
170:介電層
240:介電層
300:含金屬層
302:矽化物
304:含金屬層
307:第二化學物
308:金屬化合物
310:源極/汲極接觸
X:X軸
Z:Z軸

Claims (10)

  1. 一種形成半導體結構的方法,包含:接收具有一基板的一結構、位於該基板上的一導電特徵、以及位於該導電特徵以及該基板上的一介電層;形成一孔洞於該介電層中,該孔洞暴露該導電特徵;形成一第一含金屬層至少於該孔洞的複數側壁上;形成一第二含金屬層於該孔洞中,其中該第二含金屬層被該第一含金屬層環繞,該第一含金屬層以及該第二含金屬層包括不同的材料;施加一第一化學物以使該介電層凹陷,從而導致該第一含金屬層的一頂部部分和該第二含金屬層的一頂部部分突出於該介電層上;以及施加具有氟或氯的一第二化學物至該第一含金屬層的該頂部部分,使該第一含金屬層的該頂部部分轉化為一金屬氟化物或一金屬氯化物。
  2. 如請求項1所述的方法,其中該第一化學物包括一稀氫氟酸,以及該第二化學物包括一稀氫氯酸。
  3. 如請求項2所述的方法,其中該稀氫氟酸具有氟化氫在去離子水中的一濃度為約0.1%或是更低,以及該稀氫氯酸具有氯化氫在去離子水中的一濃度為約0.1%或是更高。
  4. 如請求項1所述的方法,其中該第一含金屬層包括一過渡金屬、一過渡金屬氮化物或其組合。
  5. 如請求項1所述的方法,其中形成該第二含金屬層的步驟包括沉積該第二含金屬層於該介電層上的步驟,更包括:施加一化學機械平坦化製程於該第二含金屬層以暴露該介電層。
  6. 如請求項1所述的方法,其中該第一化學物包括一稀氫氟酸,該稀氫氟酸具有氟化氫在去離子水中的一第一濃度為約0.1%或是更低,以及該第二化學物包括另一稀氫氟酸,該另一稀氫氟酸具有氟化氫在去離子水中的一第二濃度,該第二濃度至少較第一濃度高10倍。
  7. 一種形成半導體結構的方法,包含:接收具有一基板的一結構、位於該基板上的一導電特徵、以及位於該導電特徵以及該基板上的一介電層,該介電層具有氧化矽;在該介電層中蝕刻一孔洞,該孔洞暴露出該導電特徵;沉積一第一含金屬層於該孔洞的底部以及複數側壁,該第一含金屬層具有一過渡金屬或一過渡金屬氮化物;沉積形成一第二含金屬層於該孔洞中、該第一含金屬層上、以及該介電層上,其中該第一含金屬層以及第二含金 屬層包括不同的材料;對該第二含金屬層進行化學機械平坦化製程以暴露該介電層;施加一第一化學物以使該介電層凹陷,從而導致該第一含金屬層的一頂部部分和該第二含金屬層的一頂部部分突出於該介電層上;以及施加具有氟或氯的一第二化學物至該第一含金屬層的該頂部部分,使該第一含金屬層的該頂部部分轉化為一金屬氟化物或一金屬氯化物。
  8. 如請求項7所述的方法,其中該第一含金屬層包括鈦、氮化鈦、鉭、以及氮化鉭中的其中之一。
  9. 一種半導體結構,包含:一基板;一導電特徵在該基板上;一介電層在該導電特徵以及該基板上;以及一結構沉積於該導電特徵上並且至少部分被該介電層所環繞,其中該結構包括一第一含金屬層和被該第一含金屬層環繞的一第二含金屬層,其中該第一含金屬層和該第二含金屬層包括不同的材料,其中該第一含金屬層的一下部分包括一過渡金屬或一過渡金屬氮化物,並且該第一含金屬層的一上部分包括一過渡金屬氟化物或一過渡金屬氯化物,其中該第一含金屬層的該上部分的一頂表面,高於該 介電層的一頂表面。
  10. 如請求項9所述的半導體結構,更包含:一金屬矽化物在該導電特徵和該第一含金屬層之間。
TW109112412A 2019-04-24 2020-04-13 半導體結構及其形成方法 TWI743742B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962837860P 2019-04-24 2019-04-24
US62/837,860 2019-04-24
US16/735,137 US11232943B2 (en) 2019-04-24 2020-01-06 Method and structure for semiconductor interconnect
US16/735,137 2020-01-06

Publications (2)

Publication Number Publication Date
TW202105612A TW202105612A (zh) 2021-02-01
TWI743742B true TWI743742B (zh) 2021-10-21

Family

ID=72840202

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109112412A TWI743742B (zh) 2019-04-24 2020-04-13 半導體結構及其形成方法

Country Status (4)

Country Link
US (2) US11232943B2 (zh)
KR (1) KR102355229B1 (zh)
DE (1) DE102020101284A1 (zh)
TW (1) TWI743742B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220278209A1 (en) * 2021-03-01 2022-09-01 Sandisk Technologies Llc High voltage field effect transistors with metal-insulator-semiconductor contacts and method of making the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236583A1 (en) * 2008-03-24 2009-09-24 Chien-Li Kuo Method of fabricating a phase change memory and phase change memory
US20180261657A1 (en) * 2017-03-10 2018-09-13 Samsung Display Co., Ltd. Display device

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5124780A (en) 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
US6114254A (en) 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
DE69928146T2 (de) 1998-01-28 2006-08-03 Interuniversitair Microelektronica Centrum Vzw Metallisierungsstruktur auf einem fluorhaltigen Dielektrikum und Herstellungsverfahren dafür
US6140233A (en) * 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
JP2000260768A (ja) 1999-03-05 2000-09-22 Nec Corp 半導体装置の製造方法
KR100360396B1 (ko) * 1999-08-05 2002-11-13 삼성전자 주식회사 반도체소자의 콘택 구조체 형성방법
KR100477816B1 (ko) * 2002-12-30 2005-03-22 주식회사 하이닉스반도체 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
KR100671564B1 (ko) 2004-12-23 2007-01-19 동부일렉트로닉스 주식회사 콘택홀을 이용한 반도체 소자의 실리사이드 형성 방법
KR100591162B1 (ko) 2004-12-29 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 콘택홀 세정 방법
KR20060108436A (ko) * 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US20100244252A1 (en) 2009-03-31 2010-09-30 Jezewski Christopher J Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9209272B2 (en) 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10825724B2 (en) 2014-04-25 2020-11-03 Taiwan Semiconductor Manufacturing Company Metal contact structure and method of forming the same in a semiconductor device
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9721896B2 (en) * 2015-09-11 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9892930B1 (en) * 2016-09-20 2018-02-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10242908B2 (en) * 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US20220102138A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure for Semiconductor Devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236583A1 (en) * 2008-03-24 2009-09-24 Chien-Li Kuo Method of fabricating a phase change memory and phase change memory
US20180261657A1 (en) * 2017-03-10 2018-09-13 Samsung Display Co., Ltd. Display device

Also Published As

Publication number Publication date
US11961731B2 (en) 2024-04-16
US20220148977A1 (en) 2022-05-12
KR20200125441A (ko) 2020-11-04
KR102355229B1 (ko) 2022-01-25
TW202105612A (zh) 2021-02-01
US11232943B2 (en) 2022-01-25
US20200343088A1 (en) 2020-10-29
DE102020101284A1 (de) 2020-10-29

Similar Documents

Publication Publication Date Title
US11349015B2 (en) Dual metal via for contact resistance reduction
TWI655680B (zh) 半導體裝置及其形成方法
TWI608582B (zh) 半導體元件及其製造方法
US20210280460A1 (en) Metal-Based Etch-Stop Layer
TWI808130B (zh) 半導體裝置及其製造方法
TWI731282B (zh) 半導體裝置及其形成方法
KR20200026732A (ko) 메탈 게이트 구조물 절단 프로세스
TW202201697A (zh) 半導體結構
US11961731B2 (en) Method and structure for semiconductor interconnect
TW202002301A (zh) 半導體結構形成方法
TWI766374B (zh) 半導體結構及其形成方法
CN114038800A (zh) 半导体结构的制造方法
CN114664737A (zh) 具有栅极切割特征的半导体器件及其形成方法
CN111106158B (zh) 半导体结构及其形成方法
TWI765573B (zh) 半導體元件及其形成方法
CN111863712A (zh) 半导体结构和形成半导体结构的方法
US11404570B2 (en) Semiconductor devices with embedded ferroelectric field effect transistors
US20220352380A1 (en) Semiconductor devices with embedded ferroelectric field effect transistors