TWI608582B - 半導體元件及其製造方法 - Google Patents
半導體元件及其製造方法 Download PDFInfo
- Publication number
- TWI608582B TWI608582B TW105139981A TW105139981A TWI608582B TW I608582 B TWI608582 B TW I608582B TW 105139981 A TW105139981 A TW 105139981A TW 105139981 A TW105139981 A TW 105139981A TW I608582 B TWI608582 B TW I608582B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- layer
- metal
- containing dielectric
- germanium
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76847—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Description
本揭露是關於一種半導體元件及其製造方法,特別是關於半導體元件內之蝕刻停止層及其製造方法。
半導體積體電路(integrated circuit;IC)工業歷經了指數性的成長。積體電路材料及設計的技術發展已創造了數代積體電路,每一代皆有比上一代更小且更複雜之電路。積體電路的演化的過程中,功能密度(如每個晶片內的互連接元件之數量)不斷提升,而元件尺寸(如製程所能製造出的最小組件)則不斷縮小。尺寸縮小的製程一般提供了生產效率的提升以及減少相關的浪費。尺寸的縮小亦增加了製程及生產的複雜性。故為了因應這樣的進步,積體電路之製程與製造的相關發展是必須的。
例如,在積體電路的製造中,典型的作法為在低介電常數(low k)介電層中蝕刻形成導孔(via hole),以形成內連接結構。在整個晶圓當中,導孔的分布可能不平均,部分區域可能有密度較高之導孔圖案,而部分區域可能具有隔離之導孔圖案。在導孔蝕刻製程中這種現象稱為圖案負載效應
(pattern loading effect),會導致部分導孔被過度蝕刻,而部分導孔則會蝕刻不足。為了克服這種問題,傳統作法為沉積矽基(silicon-based)蝕刻停止層於低介電常數介電層與下方的層之間。然而,隨著半導體製程的尺度越來越小,傳統的矽基蝕刻停止層在一些案例中已無法提供足夠功效。例如在新設計中,導線寬度可能會具有高變化幅度,導孔可能會具有高深寬比。因此,傳統的矽基蝕刻停止層已無法有效地避免導孔的過度蝕刻或蝕刻不足的問題。因此需要一個解決此問題之方法。
本揭露之一實施例為一種半導體元件,包含基板、第一導電特徵、蝕刻停止層、介電層,及第二導電特徵。其中第一導電特徵位於基板之一部分上方。蝕刻停止層位於基板及第一導電特徵上方,其中蝕刻停止層包含含矽介電層及位於含矽介電層上方之含金屬介電層。介電層位於蝕刻停止層上方。第二導電特徵位於介電層內,且第二導電特徵穿過蝕刻停止層並與第一導電特徵電性連接。
本揭露之另一實施例為一種半導體元件,包含基板、第一低介電常數層、第一導電特徵、蝕刻停止層、第二低介電常數層及第二導電特徵。第一低介電常數層位於基板上方。第一導電特徵位於第一低介電常數層內。蝕刻停止層位於第一低介電常數層上方,其中蝕刻停止層包含含矽介電層及位於含矽介電層上方之含金屬介電層,其中含金屬介電層包含金屬材料之氧化物或金屬材料之氮化物。第二低介電常數層位於
蝕刻停止層上方。第二導電特徵局部位於第二低介電常數層內,且第二導電特徵穿過蝕刻停止層並與第一導電特徵電性連接。
本揭露之又一實施例為一種製造半導體元件之方法,包含提供前置物,包含基板、第一介電層,及第一導電特徵,其中第一介電層位於基板上方,而第一導電特徵位於第一介電層內。形成含矽介電層於第一介電層上方。形成含金屬介電層於含矽介電層上方。形成第二介電層於含金屬介電層上方。蝕刻第二介電層以形成溝槽,其中溝槽曝露含金屬介電層。
10、30、45‧‧‧方法
12、14、16、17、18、20、22、24、32、34、36、38、40、
42‧‧‧操作
50、100、200、300‧‧‧元件
52、102‧‧‧基板
54‧‧‧鰭
54a‧‧‧源/汲極區
54b‧‧‧通道區
56‧‧‧隔離結構
58‧‧‧源/汲極特徵
60‧‧‧閘極結構
62、108、111‧‧‧含矽介電層
63、109‧‧‧含金屬介電層
64、110‧‧‧蝕刻停止層
66、104、112‧‧‧介電層
68、70、114A、114B、130‧‧‧溝槽
72、74、106A、106B、122A、122B‧‧‧導電特徵
107A、107B、120A、120B‧‧‧阻障層
116A、116B‧‧‧頂部
118A、118B‧‧‧底部
132‧‧‧可分解層
134‧‧‧氣隙
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個態樣。應注意,根據業界中的標準做法,多個特徵並非按比例繪製。事實上,多個特徵之尺寸可任意增加或減少以利於討論的清晰性。
第1圖為本揭露之部分實施例之形成半導體元件之方法的流程圖。
第2A、2B、2C、3A、3B、3C、4A、4B、4C、5A、5B、5C、6A、6B、6C、7A、7B、7C、8A、8B、8C圖為第1圖之方法之製造一半導體元件在不同階段的局部截面圖。
第9A、9B、9C、9D、9E、9F、9G圖為第1圖之方法之製造另一半導體元件在不同階段的局部截面圖。
第10圖為第1圖之方法的另一實施例的流程圖。
第11A、11B、11C、11D、11E、11F、11G圖為第10圖之方法之製造一半導體元件在不同階段的局部截面圖。
第12圖為第1圖之方法的另一實施例的流程圖。
第13A、13B、13C圖為第12圖之方法之製造一半導體元件在不同階段的局部截面圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
此外,空間相對術語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等在本文中用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與另一元件或特徵結構的關係。除了描繪圖示之方位外,空間相對術語也包含元件在使用中或操作下之不同方位。此設備可以其他方式定向(旋轉90度或處於其他方位上),而本案中使用之空間相對描述詞可相應地進行
解釋。
本揭露之不同實施例大致上是關於半導體元件及其製造方法。更特別地,本揭露是關於一種用於半導體製造之新的、且改良的蝕刻停止層。於部分實施例中,蝕刻停止層具有含矽介電層(silicon-containing dielectric;SCD)及含金屬介電層(metal-containing dielectric;MCD),其中含金屬介電層位於含矽介電層上。含矽介電層作為阻障層,以避免金屬(如:銅)擴散。含矽介電層亦作為含金屬介電層與下方之材料(如:介電材料)之間的密封層。與傳統矽基蝕刻停止層相比,含金屬介電層對於上方欲進行蝕刻之介電層(如:低介電常數材料)提供了較佳的蝕刻選擇性。因此,本揭露之蝕刻停止層與傳統蝕刻停止層相比,可更有效地阻止導孔蝕刻不足或過度蝕刻的問題。本揭露之蝕刻停止層可用於接觸點的形成,如電晶體的源極、汲極和閘極端子。亦可用於積體電路之多層內連接的形成,以及其他本領域之通常知識者所能理解之領域。本揭露之蝕刻停止層的不同態樣將於後續內文中描述,例如,形成用於半導體元件50之源極、汲極和閘極端子。以及形成用於半導體元件100、200及300之多層內連接結構。
半導體元件50、100、200及300僅用於描述之目的,並不用於將本揭露限制於任何數量的元件、任何數量的區域,或任何元件及區域的配置。此外,每個半導體元件50、100、200及300可能為積體電路的製程中的中間元件或其部分,例如靜態隨機存取記憶體(static random access memory;SRAM),及/或邏輯電路、被動元件如電阻、電容
及電感,及主動元件如p型場效電晶體(p-type field effect transistor;pFET)或n型場效電晶體(n-type field effect transistor;nFET)、鰭式場效電晶體(fin field effect transistor;FinFET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors;MOSFET)、互補式金屬氧化物(complementary metal-oxide semiconductor;CMOS)電晶體、雙極性電晶體(bipolar transistor)、高電壓電晶體、高頻率電晶體,其他記憶體單元,及上述之組合。
第1圖為本揭露之部分實施例之使用本揭露之蝕刻停止層製造半導體元件50及100之方法10的流程圖。第10圖及第12圖為方法10之不同實施例,使用本揭露之蝕刻停止層形成半導體元件200及300。方法10之不同實施例僅為範例而已,並不用於限制本揭露所欲保護之範疇。本揭露之額外實施例中,額外的操作可以在方法10之前、之間,或之後進行,且部分操作可被取代、省略,或改變其在本揭露中之位置。第2A至8C、第9A至9G、第11A至11G及第13A至13C圖分別為半導體元件50、100、200及300在不同的製造階段的截面圖。
本揭露之方法10的第一實施例將於下方進行討論,並伴隨著第1圖及第2A至8C圖作描述。如圖所示,元件50為多閘極元件。更特別地,元件50為鰭式場效電晶體元件。因此,第2A、3A、4A、5A、6A、7A及8A圖之為沿著元件50之鰭的長度方向截取的截面圖。第2B、3B、4B、5B、6B、7B及8B圖之為沿著元件50之鰭的寬度方向截取的截面圖。第
2C、3C、4C、5C、6C、7C及8C圖之為沿著元件50之源/汲極內之鰭的寬度方向所截取的截面圖。本領域之通常知識者應了解方法10之部分實施例除了形成多閘極元件之外,亦可用於形成平面型電晶體。
請參照第1圖,在方法10的操作12中,提供元件50的前置物,如第2A、2B及2C圖所示。為了方便討論,元件50的前置物直接稱為元件50。元件50包含基板52、鰭54、二個源/汲極區54a、通道區54b、隔離結構56、閘極結構60。鰭54凸出自基板52。通道區54b位於二源/汲極區54a之間。隔離結構56位於基板上並包圍鰭54的下部。閘極結構60位於隔離結構56上方並在通道區54b之位置與鰭54接合。元件50更包位於源/汲極區54a內及/或上方之源/汲極特徵58。源/汲極特徵58為導電特徵。於部分實施例中,閘極結構60亦包含導電特徵。元件50之不同特徵將在後續討論。
於部分實施例中,基板52包含矽基板(例如:晶圓)。或者,基板52可包含其他元素半導體,如鍺;化合物半導體,如碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide),及/或銻化銦(indium antimonide);合金半導體,如矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(InGaAs)、磷化鎵銦(GaInP),及/或磷砷化銦鎵(GaInAsP);或上述之組合。在又其他替代實施例中,基板52為絕緣體上半導體(semiconductor on insulator;SOI)。
鰭54適用於形成p型鰭式場效電晶體或n型鰭式場效電晶體。鰭54可使用適合的製程形成,如光微影及蝕刻製程。光微影製程可包含形成光阻層於基板52上,根據一圖案曝光光阻,執行曝光後烘烤製程(post-exposure bake process),及顯影光阻以形成含有光阻之遮罩元件。遮罩元件接著用於在基板52內蝕刻溝槽,使得鰭54存留於基板52上。蝕刻製程可包含乾蝕刻、濕蝕刻、反應式離子蝕刻(reactive ion etching;RIE),及/或其他適合之製程。例如,乾蝕刻製程可應用含氧氣體、含氟氣體(如:四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6))、含氯氣體(如:氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴氣體(如:溴化氫(HBr)及/或三溴甲烷(CHBr3))、含碘氣體,或其他適合之氣體及/或電漿。濕蝕刻製程可包含在以下蝕刻劑內進行蝕刻,例如,稀釋氫氟酸(diluted hydrofluoric acid;DHF)、氫氧化鉀(KOH)溶液、氨(ammonia)、含氫氟酸之溶液、硝酸(HNO3),及/或乙酸(CH3COOH),或其他適合之濕蝕刻劑。或者,鰭54可使用雙重圖案化(double-patterning lithography;DPL)形成。本方法之不同實施例皆可用於在基板52上形成鰭54。
隔離結構56可由二氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、摻雜氟之矽酸鹽玻璃(fluoride-doped silicate glass(FSG)、低介電常數材料,及/或其他適合之絕緣材料。隔離結構56可為淺溝
槽絕緣特徵(shallow trench isolation;STI)。於一實施例中,隔離結構56藉由在基板52上蝕刻溝槽形成,例如可為鰭54的製程中的一部分。溝槽內可填補絕緣材料,並接著執行化學機械研磨(chemical mechanical planarization;CMP)製程。其他隔離結構56如場氧化層(field oxide)、矽局部氧化(LOCal Oxidation of Silicon;LOCOS),及/或其他適合之結構。隔離結構56可為多層結構,例如,具有一層或多層之熱氧化襯墊層。
於一實施例中,源/汲極特徵58可包含輕摻雜源/汲極(LDD)、重摻雜源/汲極(HDD),及/或矽化物。於一範例中,輕摻雜源/汲極或重摻雜源/汲極的形成可藉由在源/汲極區54a進行蝕刻,並使用矽基前驅氣體執行選擇性蝕刻(selective epitaxial growth;SEG)。長出的源/汲極(如:矽)可在選擇性蝕刻製程或後續製程期間使用適合的原位(in-situ)摻雜劑來進行摻雜。退火製程,如快速熱退火及/或雷射熱退火,可用於活化摻雜劑。例如,矽化物可包含矽化鎳(NiSi)、矽化鎳鉑(NiPtSi)、矽化鎳鉑鍺(NiPtGeSi)、矽化鎳鍺(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi),其他適合之導電材料,及/或上述之組合。矽化物的製程可包含沉積一金屬層,退火該金屬層使得金屬層可與矽反應以形成矽化物,再移除未反應之金屬層。
閘極結構60與鰭54的二邊或三邊接合(本範例為三邊)。閘極結構60可包含閘極介電層、閘極電極層,及一個或多個額外的層,如內介面層、功函數層,及硬質遮罩層。介
電層可包含二氧化矽或高介電常數材料,如二氧化鉿(HfO2)、二氧化鋯(ZrO2)、氧化鑭(La2O3)、二氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3),其他適合之金屬氧化物,或上述之組合。於一實施例中,閘極電極層可包含多晶矽。或者,閘極電極層可包含單一金屬,如鋁(Al)、鎢(W),或銅(Cu),及/或其他適合之材料。於部分實施例中,閘極結構60可包含閘極間隔層。閘極結構60內之不同層可透過化學氧化(chemical oxidation)、熱氧化(thermal oxidation)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、電鍍,或其他適合之方法。
參照第1圖,方法10之操作14,在基板52上形成含矽介電層62。更特別地,含矽介電層62形成在鰭54、隔離結構56、源/汲極特徵58、閘極結構60之上,如第3A、3B及3C圖所示。含矽介電層62在不同實施例中可為共形層或非共形層。於一實施例中,含矽介電層62可包含碳化矽、氮化矽、二氧化矽。於一替代實施例中,含矽介電層62包含矽與氧、碳及/或氮之組合。例如,含矽介電層62可包含氮碳化矽(SiCN)、碳氧化矽(SiOC),或氮氧化矽(SiON)。含矽介電層62可透過化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD;PECVD)、原子層沉積、電漿輔助原子層沉積(plasma-enhanced ALD;PEALD),或其他沉積技術形成。於一實施例中,含矽介電層62於一腔體內沉積,而溫度範圍為室溫至約攝氏600度,壓力範圍約0至100托
(Torr)。含矽介電層62之厚度可根據設計需求而進行調控。較厚的含矽介電層62可提供較佳的金屬阻障及密封功能,卻也伴隨著較大的封裝尺寸。於部分實施例中,含矽介電層62控制於小於1000埃(angstrom;Å)。例如,含矽介電層62的沉積厚度範圍為5埃至300埃。
方法10之操作16中,在含矽介電層62上方形成含金屬介電層63。參照第4A、4B,及4C圖,於不同實施例中,含金屬介電層63可共形地或非共形地形成。於一實施例中,含金屬介電層63包含金屬材料之氧化物或金屬材料之氮化物。於部分實施例中,金屬材料包含鋁(Al)、鉭(Ta)、鈦(Ti)、鉿(Hf),或其他金屬。例如,含金屬介電層63可包含氮化鋁(aluminum nitride)、氧化鋁(aluminum oxide)、氧化鉭(tantalum oxide)、氧化鈦(titanium oxide)、氧化鉿(hafnium oxide),其他金屬氧化物化合物,或其他金屬氮化物化合物。含金屬介電層63電性絕緣,且對於低介電常數材料具有較高的蝕刻選擇性,此部分將在後續討論。含金屬介電層63可使用物理氣相沉積、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、電鍍(電解或無電解),或其他沉積技術。於一實施例中,含金屬介電層63於一腔體內沉積,而溫度範圍為室溫至約攝氏600度,壓力範圍約0至100托(Torr)。含矽介電層62與含金屬介電層63可於相同製程腔內沉積。含金屬介電層63之厚度可根據設計需求而進行調控。於部分實施例中,含金屬介電層63控制於小於500埃(angstrom;Å)。例如,含金屬介電層63的沉積厚度範圍為5埃至100埃。於本實施例
中,本揭露之蝕刻停止層64具有含矽介電層62與含金屬介電層63。蝕刻停止層64一般而言薄於傳統矽基蝕刻停止層,由於含金屬介電層63可在較薄的情況下仍具有相當強度之蝕刻停止功能。
方法10之操作18中,在蝕刻停止層64上方形成介電層66,並填補基板52上之多個溝槽。參照第5A、5B及5C圖,介電層66包含低介電常數材料,如四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜之矽酸鹽玻璃,或摻雜之二氧化矽,如硼磷矽玻璃(borophosphosilicate glass;BPSG)、融熔矽酸鹽玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG),及/或其他適合之介電材料。於部分實施例中,介電層66包含具有低於或等於3之介電常數的材料。於部分實施例中,介電層66包含極低介電常數材料,例如,介電常數低於2.3。介電層66可藉由物理氣相沉積、化學氣相沉積、低壓化學氣相沉積(low-pressure CVD;LPCVD)、電漿輔助化學氣相沉積、流式化學氣相沉積(flowable CVD;FCVD),或其他沉積技術形成。可應用化學機械研磨製程以平坦化介電層66之上表面,亦為元件50之上表面。
方法10之操作20中,蝕刻介電層66以在其中形成溝槽68及70。參照第6A、6B及6C圖,溝槽68形成於源/極特徵58上,而溝槽70形成於閘極結構60上。溝槽68及70分別曝露了源/極區54a內之含金屬介電層63以及閘極結構60上之含金屬介電層63。於部分實施例中,溝槽68及70可同時形成或
分開形成。為了方便討論,溝槽68及70的形成方法一併於以下討論。
於部分實施例中,操作20可包含一個或多個微影製程以及一個或多個蝕刻製程。舉例而言,微影製程可包含形成光阻層於介電層66上,根據一圖案將光阻層曝光,執行曝光後烘烤,並顯影光阻層以形成具有光阻層之遮罩元件。遮罩層接著用於在介電層66內蝕刻溝槽。於一實施例中,一個或多個圖案化層,例如硬質遮罩層及/或抗反射塗布層,可在光阻圖案化之前沉積於介電層66與光阻層之間。本實施例進一步而言,圖案自光阻層轉移至一個或多個圖案化層,再轉移至介電層66。
介電層66的蝕刻可使用乾蝕刻、濕蝕刻,及/或其他上述適合之製程。蝕刻製程選擇性地調控以移除介電層66之材料但不會移除含金屬介電層63之材料。由於各種因素使得導孔圖案具有不平均之分布,變化之臨界尺寸(critical dimensions;CDs)、導孔溝槽之高深寬比,部分導孔溝槽可能比其他導孔溝槽早一步蝕刻至含金屬介電層63。當一個導孔(例如左側之溝槽68)槽蝕刻至含金屬介電層63,而另一溝槽(例如右側之溝槽68)尚未蝕刻至含金屬介電層63時,蝕刻將會繼續進行以確保所有的導孔溝槽皆蝕刻至含金屬介電層63。否則,蝕刻不足之導孔溝槽將會導致開路缺陷。以傳統矽基蝕刻停止層而言,繼續蝕刻將會導致過度蝕刻,並穿越矽基蝕刻停止層,並對下方之特徵造成損壞。於本實施例中,含金屬介電層63對於介電層66具有較大的蝕刻選擇性,因此可以承受持
續蝕刻的操作。於本實施例中,所有的導孔溝槽在操作20中,皆蝕刻至含金屬介電層63並且停止在含金屬介電層63的位置。
方法10之操作22中,蝕刻並開通蝕刻停止層64,包含含金屬介電層63與含矽介電層62。參照第7A、7B及7C圖,蝕刻並移除溝槽68與70內之含金屬介電層63與含矽介電層62。如此一來,導電特徵,包含源/汲極特徵58與閘極結構60分別於溝槽68與70中曝露。操作22可包含一個或多個乾蝕刻、濕蝕刻,或其他適合的蝕刻技術,經由選擇性地調控以移除蝕刻停止層64的材料,而介電層66實質上則維持不變。於一實施例中,操作22包含一個或多個非等向性(anisotropic)蝕刻製程。可執行清潔製程以自導電特徵曝露的部分移除蝕刻殘留物。
方法10之操作24中,分別於溝槽68及70中形成導電特徵72及74。參照第8A、8B及8C圖,導電特徵72(源/汲極接觸點)電性連接至源/汲極特徵58,而導電特徵74(閘極接觸點)電性連接至閘極結構60。於部分實施例中,導電特徵72與74可於不同製程或相同製程形成。各導電特徵72及74可使用金屬,例如如鋁(Al)、鎢(W)、銅(Cu)或鈷(Co),或其他適合之金屬。於一實施例中,操作24分別在形成導電特徵72及74之前,於溝槽68及70中形成阻障層。阻障層避免導電特徵72及74之材料擴散至介電層66。各導電特徵72及74可透過化學氣相沉積、物理氣相沉積、原子層沉積、電鍍,或其他適合之方法形成。
繼續參照第8A、8B及8C圖,於本製造階段中,元件50包含基板52及源/汲極特徵58、閘極結構60,源/汲極特徵58、閘極結構60位於部分基板52上方。元件50更包含改良之蝕刻停止層64,蝕刻停止層64包含含矽介電層62與含金屬介電層63,其中含金屬介電層63位於含矽介電層62上方。元件50更包含位於蝕刻停止層64上方之介電層66。導電特徵72及74穿過蝕刻停止層64並分別與源/汲極特徵58、閘極結構60電性連接。於部分實施例中,閘極結構60頂部之蝕刻停止層64的部分不存在。例如,元件50可進行閘極取代製程,因此移除了蝕刻停止層64在閘極結構60頂部之部分。
本揭露之方法10的第二實施例將於下方進行討論,並伴隨著第1圖及第9A至9G圖作描述。
參照第1圖,方法10之操作12中,提供元件100的前置物,如第9A圖所示。為了方便討論,元件100的前置物直接稱為元件100。元件100包含基板102、基板上方之介電層104,及介電層104內之導電特徵106A及106B。於本實施例中,元件100更包含位於導電特徵106A及106B與介電層104之間的阻障層107A及107B。
於部分實施例中,基板102包含矽基板(例如:晶圓)。或者,基板102可包含其他元素半導體,如鍺;化合物半導體,如碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide),及/或銻化銦(indium antimonide);合金半導體,如矽鍺(SiGe)、磷砷化鎵
(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(InGaAs)、磷化鎵銦(GaInP),及/或磷砷化銦鎵(GaInAsP);或上述之組合。在又其他替代實施例中,基板102為絕緣體上半導體(semiconductor on insulator;SOI)。基板102包含主動元件,例如p型場效電晶體或n型場效電晶體、金屬氧化物半導體場效電晶體、互補式金屬氧化物電晶體、雙極性電晶體、高電壓電晶體。電晶體可為平面型電晶體或多閘極電晶體,如鰭式場效電晶體。基板102更包含被動元件,如電阻、電容,及電感。
於部分實施例中,介電層104包含低介電常數材料,如四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜之矽酸鹽玻璃,或摻雜之二氧化矽,如硼磷矽玻璃(borophosphosilicate glass;BPSG)、融熔矽酸鹽玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG),及/或其他適合之介電材料。於部分實施例中,介電層104包含具有低於或等於3之介電常數的材料。於部分實施例中,介電層104包含極低介電常數材料,例如,介電常數低於2.3。
導電特徵106A及106B為元件100之多層內連接結構的一部分。於一實施例中,導電特徵106A及106B為接觸點(或栓片),用於電晶體之源極、汲極,或閘極端子。於另一實施例中,導電特徵106A及106B為金屬x級內連接結構(如金屬線特徵)。例如,x可為0、1、2等等。雖然未圖示,導電特徵106A及106B透過內連接結構下方的層或其他主動及/或被
動元件之端子(如源極、汲極,或閘極接觸點)耦接至基板102(如元件50內之鰭式場效電晶體)內之主動及/或被動元件。於部分實施例中,導電特徵106A及106B可包含銅、鋁、鎢、鈷,或其他適合金屬。
阻障層107A及107B可電性導通或電性絕緣。阻障層107A及107B分別位於導電特徵106A及106B與介電層104之間,並作為金屬擴散阻障。於部分實施例中,阻障層107A及107B可包含鈦、氮化鈦、鉭、氮化鉭,或其他適合材料。阻障層107A及107B亦可包含一個或多個材料層。
元件100可透過不同製程形成。於一範例中,元件100的形成是藉由在基板102上沉積介電層104,蝕刻介電層104以形成溝槽,沉積阻障層107A及107B作為溝槽內之襯墊層,沉積導電特徵106A及106B於溝槽中的阻障層107A及107B上,並執行化學機械研磨製程以移除過多之阻障層的材料以及導電特徵。於另一範例中,元件100是藉由在基板102上沉積金屬層,蝕刻金屬層(如使用反應式離子蝕刻)以形成導電特徵106A及106B,在導電特徵106A及106B周圍沉積阻障層107A及107B,在基板102上方沉積介電層104並覆蓋導電特徵106A及106B,並執行化學機械研磨製程以移除介電層104之過多材料及阻障層107A及107B。
於不同實施例中,介電層104之形成可透過物理氣相沉積、化學氣相沉積、低壓化學氣相沉積、電漿輔助化學氣相沉積、流式化學氣相沉積,或其他沉積技術形成。介電層104可使用乾蝕刻、濕蝕刻,或其他蝕刻技術進行蝕刻。阻障
層107A及107B可使用物理氣相沉積、化學氣相沉積、原子層沉積或其他適合之技術形成。導電特徵106A及106B可藉由濺鍍、化學氣相沉積、電鍍(電解或非電解),或其他適合之沉積技術形成。
第1圖之方法10之操作14中,在介電層104上形成含矽介電層108。參照第9B圖,於不同實施例中,含矽介電層108可共形地或非共形地形成。於一實施例中,含矽介電層108包含矽與氧、碳及/或氮之組合。例如,含矽介電層108可包含碳化矽、氮化矽、二氧化矽。於替代實施例中,含矽介電層108可包含氮碳化矽(SiCN)、碳氧化矽(SiOC),或氮氧化矽(SiON)。含矽介電層108可使用物理氣相沉積、化學氣相沉積、原子層沉積、電漿輔助化學氣相沉積,或其他沉積技術形成。於一實施例中,矽介電層108於一腔體內沉積,而溫度範圍為室溫至約攝氏600度,壓力範圍約0至100托(Torr)。含矽介電層108之厚度可根據設計需求而進行調控。較厚的含矽介電層108可提供較佳的金屬阻障及密封功能,卻也伴隨著較大的封裝尺寸。於部分實施例中,含矽介電層108控制於小於1000埃(angstrom;Å)。例如,含矽介電層108的沉積厚度範圍為5埃至300埃。
方法10之操作16中,在含矽介電層108上方形成含金屬介電層109。參照第9C圖,含金屬介電層109可可共形地或非共形地形成。於一實施例中,含金屬介電層109包含金屬材料之氧化物或金屬材料之氮化物。於部分實施例中,金屬材料包含鋁(Al)、鉭(Ta)、鈦(Ti)、鉿(Hf),或其他金屬。例
如,含金屬介電層109可包含氮化鋁(aluminum nitride)、氧化鋁(aluminum oxide)、氧化鉭(tantalum oxide)、氧化鈦(titanium oxide)、氧化鉿(hafnium oxide),其他金屬氧化物化合物,或其他金屬氮化物化合物。含金屬介電層109電性絕緣,且對於低介電常數材料具有較高的蝕刻選擇性,如介電層104內之材料。含金屬介電層109可使用物理氣相沉積、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、電鍍(電解或無電解),或其他沉積技術。於一實施例中,含金屬介電層109於一腔體內沉積,而溫度範圍為室溫至約攝氏600度,壓力範圍約0至100托(Torr)。含矽介電層108與含金屬介電層109可於相同製程腔內沉積。含金屬介電層109之厚度可根據設計需求而進行調控。較厚的含金屬介電層109可提供較強之蝕刻停止的功能,同時也伴隨著較大的封裝尺寸。於部分實施例中,含金屬介電層109控制於小於500埃(angstrom;Å)。例如,含金屬介電層109的沉積厚度範圍為5埃至100埃。於本實施例中,蝕刻停止層110具有含矽介電層108與含金屬介電層109。蝕刻停止層110一般而言薄於傳統矽基蝕刻停止層,由於含金屬介電層109可在較薄的情況下仍具有相當強度之蝕刻停止功能。
方法10之操作18中,在蝕刻停止層110上方形成另一介電層112。參照第9D圖,介電層112可包含與上述之介電層104相同的材料。介電層112可藉由物理氣相沉積、化學氣相沉積、低壓化學氣相沉積、電漿輔助化學氣相沉積、流式化學氣相沉積,或其他沉積技術形成。介電層112內形成有金
屬內連接層。根據半導體封裝及金屬層設計之需求,介電層112設計有適當的厚度。
方法10之操作20中,蝕刻介電層112以在其中形成溝槽114A及114B。參照第9E圖,溝槽114A及114B暴露了含金屬介電層109。溝槽114A包含頂部116A及底部118A,其中頂部116A為線導體的容置空間而底部118A為導孔(或栓片)的容置空間。相似地,溝槽114B包含頂部116B及底部118B。於部分實施例中,操作20可使用單一鑲嵌(damascene)製程或雙重鑲嵌製程,且可使用導孔先製造或線導體先製造。
操作20可包含一個或多個微影製程以及一個或多個蝕刻製程。舉例而言,微影製程可包含形成光阻層於介電層112上,根據一圖案將光阻層曝光,執行曝光後烘烤,並顯影光阻層以形成具有光阻層之遮罩元件。遮罩層接著用於在介電層112內蝕刻溝槽。於一實施例中,一個或多個圖案化層,例如硬質遮罩層及/或抗反射塗布層,可在光阻圖案化之前沉積於介電層112與光阻層之間。本實施例進一步而言,圖案自光阻層轉移至一個或多個圖案化層,再轉移至介電層112。此外,亦可使用多重圖案化技術,以在溝槽114A及114B內達成低臨界尺寸。
介電層112的蝕刻可使用乾蝕刻、濕蝕刻,及/或其他上述適合之製程。蝕刻製程選擇性地調控以移除介電層112之材料但不會移除含金屬介電層109之材料。由於各種因素使得導孔圖案具有不平均之分布,變化之臨界尺寸、導孔溝槽之高深寬比,部分導孔溝槽可能比其他導孔溝槽早一步蝕刻
至含金屬介電層109。為了描述之目的,第9E圖中,溝槽114A之底部118A相較於溝槽114B之底部118B而言具有較小的臨界尺寸以及較高之深寬比。在大多數案例中,較高的可能性為溝槽114B之底部118B比溝槽114A之底部118A早一步蝕刻至含金屬介電層109並曝露含金屬介電層109,由於較多的蝕刻劑可達到底部118B並與內部之介電材料反應。蝕刻速率亦取決於底部118A及118B是位於基板102上之隔離導孔區或是高密度之導孔區。概括而言,並非所有導孔溝槽具有相同的蝕刻速率。當一個導孔(例如溝槽114B之底部118B)槽蝕刻至含金屬介電層109,而另一溝槽(例如溝槽114A之底部118A)尚未蝕刻至含金屬介電層109時,蝕刻將會繼續進行以確保所有的導孔溝槽皆蝕刻至含金屬介電層109。否則,蝕刻不足之導孔溝槽將會導致開路缺陷。以傳統矽基蝕刻停止層而言,繼續蝕刻將會導致過度蝕刻,並穿越矽基蝕刻停止層,並對下方之特徵造成損壞。於本實施例中,含金屬介電層109對於介電層112具有較大的蝕刻選擇性,因此可以承受持續蝕刻的操作。於本實施例中,所有的導孔溝槽在操作20中,皆蝕刻至含金屬介電層109並且停止在含金屬介電層109的位置。
方法10之操作22中,蝕刻並開通蝕刻停止層110,包含含金屬介電層109與含矽介電層108。參照第9E圖及9F圖,蝕刻並移除底部118A與118B內之含金屬介電層109與含矽介電層108。如此一來,導電特徵106A及106B分別於底部118A與118B中曝露。操作22可包含一個或多個乾蝕刻、濕蝕刻,或其他適合的蝕刻技術,經由選擇性地調控以移除蝕刻
停止層110的材料,而介電層112實質上則維持不變。於一實施例中,操作22包含一個或多個非等向性(anisotropic)蝕刻製程。可執行清潔製程以自導電特徵106A及106B曝露的部分移除蝕刻殘留物。
方法10之操作24中,分別形成阻障層120A及120B、導電特徵122A及122B於溝槽114A及114B中。第9G圖為操作24使用雙重鑲嵌製程之結果。阻障層120A及120B分別形成於溝槽114A及114B的側壁上,並作為襯墊層。導電特徵122A及122B分別形成於溝槽114A及114B內以及阻障層120A及120B上。於一實施例中,阻障層120A及120B具有與阻障層107A及107B相同之材料,而導電特徵122A及122B具有與導電特徵106A及106B相同之材料。導電特徵122A及122B分別與導電特徵106A及106B電性耦合。導電特徵122A及122B與導電特徵106A及106B之間的連接可為直接接觸,或透過阻障層120A及120B。阻障層120A及120B可透過化學氣相沉積、物理氣相沉積、原子層沉積,或其他沉積技術形成。導電特徵122A及122B可透過濺鍍、化學氣相沉積,或電鍍(電解或非電解)形成。可執行化學機械研磨製程以平坦化元件100之上表面,並移除過多的阻障層及介電層112上方之導電材料。
繼續參照第9G圖,在此製造階段中,元件100包含基板102、基板102上方之介電層104、介電層104內之導電特徵106A及106B。元件100更包含新的及改良的蝕刻停止層110,蝕刻停止層110包含含矽介電層108及含金屬介電層109,其中含金屬介電層109位於含矽介電層108上。元件100
更包蝕刻停止層110上方之介電層112,以及介電層112內之導電特徵122A及122B。導電特徵122A及122B穿越蝕刻停止層110並分別與導電特徵106A及106B電性連接。方法10可藉由重複操作14、16、18、20、22及24以在介電層112上方形成更多的內連接結構。
本揭露之方法10的第三實施例將於下方進行討論,並伴隨著第1圖、第10圖及第11A至11G圖作描述。
第10圖為製造半導體元件200之方法30,其中在導電特徵106A與106B之間形成一氣隙,且蝕刻停止層110作為氣隙之底部及側壁。方法30可視為是方法10的一種實施例,並在下方進行討論。
第10圖之方法30的操作12中,提供元件200的前置物,與第9A圖之元件100實質上相同。方法30的操作12繼續進行至操作32。
第10圖之方法30的操作32中,在靠近導電特徵106A及106B之其中一者的介電層104內蝕刻溝槽130。為了方便描述,溝槽130蝕刻於導電特徵106A及106B之間,如第11A圖所示。溝槽130作為欲形成之氣隙的容置空間。溝槽130之深度、寬度,及長度可根據設計需求而決定。由於介電常數最低的物質為空氣(k=1.0),故氣隙會降低內連接結構的寄生電容(parasitic capacitance)。於部分實施例中,操作32可包含乾蝕刻製程、濕蝕刻製程,或其他蝕刻技術。
第10圖之方法30的操作34中,在介電層104上與溝槽130內形成含矽介電層108,如第11B圖所示。操作34為
第1圖之操作14的一個實施例。因此,為了簡潔之目的,將省略操作34的部分細節。含矽介電層108形成在溝槽130的底部及側壁上,但並不完全填充溝槽130。
第10圖之方法30的操作36中,在含矽介電層108上方及溝槽130內形成含金屬介電層109,如第11C圖所示。操作36為第1圖之操作16的一個實施例。因此,為了簡潔之目的,將省略操作36的部分細節。如第11C圖所示,蝕刻停止層110包含含矽介電層108及含金屬介電層109。蝕刻停止層110沉積在溝槽130之底部及側壁,但並不完全填充溝槽130。於一實施例中,含矽介電層108及含金屬介電層109之其一或兩者皆為共形層,亦即各層具有實質上相同之厚度。於一替代實施例中,含矽介電層108及含金屬介電層109皆不為共形層,亦即各層具有變化之厚度。
第10圖之方法30的操作38中,在溝槽130內沉積可分解層132。參照第11D圖,可分解層132填滿溝槽130中剩餘的部分。於部分實施例中,可分解層132具有聚合物,可在曝照紫外光及/或加熱的溫度下分解及蒸發。例如,可分解層132可包含聚(新戊基甲基丙烯酸酯-共-乙二醇二甲基)共聚物(P(neopentul methacrylate-co-ethylene glycol dimethacrylate)copolymer)、聚丙二醇(polypropylene glycol;PPG)、聚丁二烯(polybutadine;PB)、聚乙二醇(polyethylene glycol;PEG)、聚己內酯多元醇(polycaprolactone diol;PCL),或其他適合材料。可分解層132可藉由旋塗、化學氣相沉積、電漿輔助化學氣相沉積、原
子層沉積、電漿輔助原子層沉積,或其他適合的沉積技術。可執行非等向性蝕刻製程以移除在含金屬介電層109之上表面之過多的可分解層132之材料,使可分解層132僅位於溝槽130(第11圖所示)內。
第10圖之方法30的操作40中,在含金屬介電層109及可分解層132上方形成介電層112,如第11E圖所示。操作40為第1圖之操作18的一實施例。因此,為簡化起見,操作40的部分細節將省略。
第10圖之方法30的操作42中,移除可分解層132以形成氣隙134,如第11F圖所示。於一實施例中,操作42包含將元件200曝露於紫外光下,或將元件200加熱至逐漸升高的溫度,使得可分解層132分解並轉變為蒸氣。蒸氣分子足夠小,可從介電層112之孔隙中擴散。參照第11F圖,氣隙134之底部及側壁被含金屬介電層包圍,而頂部被介電層112覆蓋。由於蝕刻停止層110可製造得比傳統矽基蝕刻停止層還薄,故相較於傳統氣隙在導電特徵106A及106B之間所能提供之有限空間,氣隙134具有較大之空間。此優點可以降低內連接結構之寄生電容。
第10圖之方法30進行至操作20(第1圖所示),繼續製造元件200,如同上述之製造元件100之方法。第11G圖為執行操作20、22及24後之元件200。參照第11G圖,元件200與元件100(第9G圖)實質上具有相同元件,且額外具有位於導電特徵106A及106B之間的氣隙134,其中蝕刻停止層110作為氣隙134之底部及側壁。
本揭露之方法10的第四實施例將於下方進行討論,並伴隨著第1圖、第12圖及第13A至13C圖作描述。第12圖為製造半導體元件300之方法,其中蝕刻停止層包含含矽介電層108、含金屬介電層109,及位於含金屬介電層109上方之另一含矽介電層111(第13A圖所示)。方法45為方法10的一實施例,將在下方進行討論。
方法45沿用操作12(第1圖)之元件300的前置物,在操作14(第1圖)中形成含矽介電層108,在操作16(第1圖)中形成含金屬介電層109。這些操作實質上與上述之元件100的製造方法相同。方法45自操作16進行至操作17(第12圖)。
第12圖之方法45的操作17中,在含金屬介電層109上方形成含矽介電層111。參照第13A圖,本實施例之蝕刻停止層110包含兩層含矽介電層108及111,以及夾在上述兩者之間的含金屬介電層109。含矽介電層111可包含一個或多個材料,如同上述對含矽介電層108之描述,且可藉由物理氣相沉積、化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積,或其他沉積技術形成。含矽介電層108及111可具有相同材料或不同材料。含金屬介電層109作為介電層112(第13B圖)之阻障層以及密封層。於部分實施例中,含矽介電層111控制於小於1000埃。例如,含矽介電層111的沉積厚度範圍為5埃至300埃。
方法45自操作17進行至操作18,在蝕刻停止層110(第13B圖)上方形成介電層112。在這之後,方法45繼續製
造元件300,如同上述之製造元件100之方法。第13C圖為執行操作18、20、22及24後之元件300。參照第13C圖,元件300與元件100(第9G圖)實質上具有相同元件,且含金屬介電層109上方具有額外的含矽介電層111。
雖不欲進行限制,本揭露之一個或多個實施例對半導體元件及其製程提供了諸多好處。例如,本揭露之實施例提供了一種用於製造半導體之新的及改良的蝕刻停止層。相較於傳統的矽基蝕刻停止層,本揭露的蝕刻停止層對於常用的低介電常數材料提供了較大的蝕刻選擇性。因此,本揭露之蝕刻停止層可更有效地防止內連接溝槽過度蝕刻或蝕刻不完全的問題。此外,本揭露之蝕刻停止層可製造得比傳統的矽基蝕刻停止層更薄,故可降低封裝尺寸。此外,本揭露之蝕刻停止層可在內連接結構內形成較大的氣隙。
本揭露之一實施例為一種半導體元件,包含基板、第一導電特徵、蝕刻停止層、介電層,及第二導電特徵。其中第一導電特徵位於基板之一部分上方。蝕刻停止層位於基板及第一導電特徵上方,其中蝕刻停止層包含含矽介電層及位於含矽介電層上方之含金屬介電層。介電層位於蝕刻停止層上方。第二導電特徵位於介電層內,且第二導電特徵穿過蝕刻停止層並與第一導電特徵電性連接。
本揭露之另一實施例為一種半導體元件,包含基板、第一低介電常數層、第一導電特徵、蝕刻停止層、第二低介電常數層及第二導電特徵。第一低介電常數層位於基板上方。第一導電特徵位於第一低介電常數層內。蝕刻停止層位於
第一低介電常數層上方,其中蝕刻停止層包含含矽介電層及位於含矽介電層上方之含金屬介電層,其中含金屬介電層包含金屬材料之氧化物或金屬材料之氮化物。第二低介電常數層位於蝕刻停止層上方。第二導電特徵局部位於第二低介電常數層內,且第二導電特徵穿過蝕刻停止層並與第一導電特徵電性連接。
本揭露之又一實施例為一種製造半導體元件之方法,包含提供前置物,包含基板、第一介電層,及第一導電特徵,其中第一介電層位於基板上方,而第一導電特徵位於第一介電層內。形成含矽介電層於第一介電層上方。形成含金屬介電層於含矽介電層上方。形成第二介電層於含金屬介電層上方。蝕刻第二介電層以形成溝槽,其中溝槽曝露含金屬介電層。
上文概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭示案的態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭示案作為基礎來設計或者修改其他製程及結構,以實行相同目的及/或實現相同優勢的。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭示案的精神及範疇,以及在不脫離本揭示案的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
100‧‧‧元件
102‧‧‧基板
104、112‧‧‧介電層
106A、106B、122A、122B‧‧‧導電特徵
107A、107B、120A、120B‧‧‧阻障層
108‧‧‧含矽介電層
109‧‧‧含金屬介電層
110‧‧‧蝕刻停止層
Claims (7)
- 一種半導體元件,包含:一基板;一第一導電特徵,位於該基板之一部分上方;一蝕刻停止層,位於該基板及該第一導電特徵上方,其中該蝕刻停止層包含一含矽介電層、位於該含矽介電層上方之一含金屬介電層,以及位於該含金屬介電層上方之另一含矽介電層;一介電層,位於該蝕刻停止層上方;以及一第二導電特徵,位於該介電層內,其中該第二導電特徵穿過該蝕刻停止層並與該第一導電特徵電性連接。
- 如請求項1所述之半導體元件,更包含另一介電層,位於該基板上方以及位於該蝕刻停止層下方,其中該第一導電特徵位於該另一介電層內。
- 如請求項1-2任一項所述之半導體元件,其中該第一導電特徵為下列之其中一者:一源/汲極特徵;一閘極結構;以及一內連接導線特徵。
- 如請求項2所述之半導體元件,更包含:一溝槽,位於該另一介電層中,其中該蝕刻停止層之一 部分配置於該溝槽之底部以及側壁;以及一氣隙,其中該蝕刻停止層之該部分作為該氣隙之底部以及側壁。
- 一種半導體元件,包含:一基板;一第一低介電常數層,位於該基板上方;一第一導電特徵,位於該第一低介電常數層內;一蝕刻停止層,位於該第一低介電常數層上方,其中該蝕刻停止層包含一含矽介電層、位於該含矽介電層上方之一含金屬介電層,以及位於該含金屬介電層上方之另一含矽介電層,其中該含金屬介電層包含一金屬材料之一氧化物或一金屬材料之一氮化物;一第二低介電常數層,位於該蝕刻停止層上方;以及一第二導電特徵,局部位於該第二低介電常數層內,其中該第二導電特徵穿過該蝕刻停止層並與該第一導電特徵電性連接。
- 一種製造半導體元件之方法,包含:提供一前置物,包含一基板、一第一介電層,及一第一導電特徵,其中該第一介電層位於該基板上方,而該第一導電特徵位於該第一介電層內;形成一含矽介電層於該第一介電層上方;形成一含金屬介電層於該含矽介電層上方;形成另一含矽介電層於該含金屬介電層上方; 形成一第二介電層於該另一含矽介電層上方;以及蝕刻該第二介電層以形成一溝槽,其中該溝槽曝露該含金屬介電層。
- 如請求項6所述之方法,更包含:蝕刻該溝槽內之該含矽介電層及該含金屬介電層,藉此曝露該第一導電特徵;以及形成一第二導電特徵於該溝槽內,該第二導電特徵與該第一導電特徵電性連接。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/197,294 US10685873B2 (en) | 2016-06-29 | 2016-06-29 | Etch stop layer for semiconductor devices |
US15/197,294 | 2016-06-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
TWI608582B true TWI608582B (zh) | 2017-12-11 |
TW201801278A TW201801278A (zh) | 2018-01-01 |
Family
ID=60662005
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105139981A TWI608582B (zh) | 2016-06-29 | 2016-12-02 | 半導體元件及其製造方法 |
Country Status (5)
Country | Link |
---|---|
US (4) | US10685873B2 (zh) |
KR (2) | KR20180002473A (zh) |
CN (1) | CN107546203B (zh) |
DE (1) | DE102016114705B4 (zh) |
TW (1) | TWI608582B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113284875A (zh) * | 2020-02-19 | 2021-08-20 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
Families Citing this family (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10685873B2 (en) | 2016-06-29 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer for semiconductor devices |
US11998813B2 (en) * | 2017-02-23 | 2024-06-04 | Douglas Patton | Adjustable golf club |
US10483164B2 (en) * | 2017-11-14 | 2019-11-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing the same |
US10269579B1 (en) * | 2017-11-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor device |
US20190255722A1 (en) * | 2018-02-17 | 2019-08-22 | Brent Short | Blade sheath device |
US10784359B2 (en) * | 2018-05-18 | 2020-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-conformal oxide liner and manufacturing methods thereof |
US10714578B2 (en) * | 2018-05-30 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for forming recesses in source/drain regions and devices formed thereof |
US10707131B2 (en) * | 2018-08-14 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11315828B2 (en) * | 2018-08-15 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal oxide composite as etch stop layer |
TWI716067B (zh) * | 2018-08-15 | 2021-01-11 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
US11393754B2 (en) | 2018-09-28 | 2022-07-19 | Intel Corporation | Contact over active gate structures with etch stop layers for advanced integrated circuit structure fabrication |
US11502001B2 (en) | 2018-10-31 | 2022-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with self-aligned vias |
US10916470B2 (en) * | 2019-03-01 | 2021-02-09 | Globalfoundries Inc. | Modified dielectric fill between the contacts of field-effect transistors |
US10840146B1 (en) * | 2019-06-17 | 2020-11-17 | Globalfoundries Inc. | Structures and SRAM bit cells with a buried cross-couple interconnect |
US11145540B2 (en) | 2019-08-08 | 2021-10-12 | Nanya Technology Corporation | Semiconductor structure having air gap dielectric and the method of preparing the same |
US11315829B2 (en) * | 2019-08-26 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Amorphous layers for reducing copper diffusion and method forming same |
US11177194B2 (en) | 2019-12-18 | 2021-11-16 | Nanya Technology Corporation | Semiconductor device with interconnect structure and method for preparing the same |
US11335638B2 (en) | 2020-04-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing RC delay in semiconductor devices |
US11615983B2 (en) * | 2020-04-22 | 2023-03-28 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor structure and method for forming the same |
TWI747622B (zh) * | 2020-04-24 | 2021-11-21 | 台灣積體電路製造股份有限公司 | 積體電路與其製作方法 |
US11551968B2 (en) | 2020-04-24 | 2023-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Inter-wire cavity for low capacitance |
US11302798B2 (en) | 2020-05-29 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with air gate spacer and air gate cap |
US11404543B2 (en) * | 2020-06-19 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11804531B2 (en) * | 2020-07-23 | 2023-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film transfer using substrate with etch stop layer and diffusion barrier layer |
US11309212B2 (en) * | 2020-07-30 | 2022-04-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11658064B2 (en) | 2020-09-29 | 2023-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure with dielectric cap layer and etch stop layer stack |
US11942371B2 (en) * | 2020-09-29 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of via opening |
US11605558B2 (en) | 2021-03-26 | 2023-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit interconnect structure having discontinuous barrier layer and air gap |
US11784228B2 (en) | 2021-04-09 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process and structure for source/drain contacts |
US20230062128A1 (en) * | 2021-08-30 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US12094764B2 (en) * | 2021-08-30 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US20230154852A1 (en) * | 2021-11-17 | 2023-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming Dielectric Film With High Resistance to Tilting |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200411765A (en) * | 2002-12-31 | 2004-07-01 | Taiwan Semiconductor Mfg | Improved etch stop layer |
TW200416948A (en) * | 2002-09-25 | 2004-09-01 | Intel Corp | Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects |
US20080150145A1 (en) * | 2006-12-21 | 2008-06-26 | Sean King | Adhesion and electromigration performance at an interface between a dielectric and metal |
TW201616568A (zh) * | 2014-10-17 | 2016-05-01 | 台灣積體電路製造股份有限公司 | 積體電路中的蝕刻停止層 |
TW201719809A (zh) * | 2015-06-17 | 2017-06-01 | 格羅方德半導體公司 | 於金屬硬遮罩移除製程期間保護導電結構之獨特雙層蝕刻停止及其使用方法 |
Family Cites Families (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6268276B1 (en) | 1998-12-21 | 2001-07-31 | Chartered Semiconductor Manufacturing Ltd. | Area array air gap structure for intermetal dielectric application |
DE102005052000B3 (de) * | 2005-10-31 | 2007-07-05 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram |
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US7910453B2 (en) | 2008-07-14 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Storage nitride encapsulation for non-planar sonos NAND flash charge retention |
US8080302B2 (en) | 2008-09-19 | 2011-12-20 | Macneil Ip Llc | All vehicle mats |
US8344513B2 (en) * | 2009-03-23 | 2013-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier for through-silicon via |
US8310013B2 (en) | 2010-02-11 | 2012-11-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a FinFET device |
US8399931B2 (en) | 2010-06-30 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout for multiple-fin SRAM cell |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8673757B2 (en) * | 2010-10-28 | 2014-03-18 | International Business Machines Corporation | Structure and method for using high-k material as an etch stop layer in dual stress layer process |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
JP5622675B2 (ja) * | 2011-07-05 | 2014-11-12 | 株式会社東芝 | 基板処理方法及び基板処理装置 |
US8709956B2 (en) * | 2011-08-01 | 2014-04-29 | Avalanche Technology Inc. | MRAM with sidewall protection and method of fabrication |
US8466027B2 (en) | 2011-09-08 | 2013-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide formation and associated devices |
CN102332425A (zh) * | 2011-09-23 | 2012-01-25 | 复旦大学 | 一种提升铜互连技术中抗电迁移特性的方法 |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8377779B1 (en) | 2012-01-03 | 2013-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices and transistors |
US8735993B2 (en) | 2012-01-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET body contact and method of making same |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8716765B2 (en) | 2012-03-23 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US8847281B2 (en) * | 2012-07-27 | 2014-09-30 | Intel Corporation | High mobility strained channels for fin-based transistors |
US8736056B2 (en) | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US9064894B2 (en) * | 2012-08-08 | 2015-06-23 | Globalfoundries Singapore Pte. Ltd. | Stress enhanced high voltage device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US8785283B2 (en) * | 2012-12-05 | 2014-07-22 | United Microelectronics Corp. | Method for forming semiconductor structure having metal connection |
US9123771B2 (en) * | 2013-02-13 | 2015-09-01 | Globalfoundries Inc. | Shallow trench isolation integration methods and devices formed thereby |
DE102013107635B4 (de) | 2013-03-14 | 2020-10-29 | Taiwan Semiconductor Mfg. Co., Ltd. | Damaszenstruktur mit erster und zweiter Ätzstoppschicht sowie Verfahren zum Ausbilden einer Verbindungsstruktur |
US9385028B2 (en) * | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9136356B2 (en) * | 2014-02-10 | 2015-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Non-planar field effect transistor having a semiconductor fin and method for manufacturing |
US9559191B2 (en) * | 2014-04-16 | 2017-01-31 | International Business Machines Corporation | Punch through stopper in bulk finFET device |
US20160071801A1 (en) | 2014-09-04 | 2016-03-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device etching for rc delay improvement |
US9991200B2 (en) | 2014-09-25 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air gap structure and method |
US9698152B2 (en) * | 2014-11-13 | 2017-07-04 | Sandisk Technologies Llc | Three-dimensional memory structure with multi-component contact via structure and method of making thereof |
KR102462134B1 (ko) * | 2015-05-19 | 2022-11-02 | 삼성전자주식회사 | 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법 |
TWI650817B (zh) * | 2015-08-28 | 2019-02-11 | 聯華電子股份有限公司 | 半導體元件及其製作方法 |
US10685873B2 (en) | 2016-06-29 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer for semiconductor devices |
-
2016
- 2016-06-29 US US15/197,294 patent/US10685873B2/en active Active
- 2016-08-09 DE DE102016114705.2A patent/DE102016114705B4/de active Active
- 2016-10-21 KR KR1020160137837A patent/KR20180002473A/ko active Application Filing
- 2016-12-02 TW TW105139981A patent/TWI608582B/zh active
-
2017
- 2017-05-23 CN CN201710369074.6A patent/CN107546203B/zh active Active
-
2018
- 2018-07-24 US US16/043,343 patent/US11322396B2/en active Active
-
2019
- 2019-09-16 KR KR1020190113738A patent/KR102465095B1/ko active IP Right Grant
-
2020
- 2020-05-18 US US16/876,965 patent/US11651993B2/en active Active
-
2022
- 2022-04-29 US US17/732,695 patent/US20220254680A1/en active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200416948A (en) * | 2002-09-25 | 2004-09-01 | Intel Corp | Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects |
TW200411765A (en) * | 2002-12-31 | 2004-07-01 | Taiwan Semiconductor Mfg | Improved etch stop layer |
US20080150145A1 (en) * | 2006-12-21 | 2008-06-26 | Sean King | Adhesion and electromigration performance at an interface between a dielectric and metal |
TW201616568A (zh) * | 2014-10-17 | 2016-05-01 | 台灣積體電路製造股份有限公司 | 積體電路中的蝕刻停止層 |
TW201719809A (zh) * | 2015-06-17 | 2017-06-01 | 格羅方德半導體公司 | 於金屬硬遮罩移除製程期間保護導電結構之獨特雙層蝕刻停止及其使用方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113284875A (zh) * | 2020-02-19 | 2021-08-20 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
CN113284875B (zh) * | 2020-02-19 | 2024-03-29 | 南亚科技股份有限公司 | 半导体元件及其制备方法 |
Also Published As
Publication number | Publication date |
---|---|
US20200279770A1 (en) | 2020-09-03 |
CN107546203B (zh) | 2020-07-03 |
KR20180002473A (ko) | 2018-01-08 |
US11651993B2 (en) | 2023-05-16 |
US10685873B2 (en) | 2020-06-16 |
KR20190109352A (ko) | 2019-09-25 |
US20180350666A1 (en) | 2018-12-06 |
DE102016114705A1 (de) | 2018-01-04 |
CN107546203A (zh) | 2018-01-05 |
US20180005876A1 (en) | 2018-01-04 |
US11322396B2 (en) | 2022-05-03 |
TW201801278A (zh) | 2018-01-01 |
KR102465095B1 (ko) | 2022-11-08 |
DE102016114705B4 (de) | 2020-10-15 |
US20220254680A1 (en) | 2022-08-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI608582B (zh) | 半導體元件及其製造方法 | |
TWI692104B (zh) | 半導體裝置與其製作方法 | |
US11721746B2 (en) | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features | |
KR101951088B1 (ko) | 자기 정렬 메탈 게이트 에치 백 프로세스 및 디바이스 | |
US11062945B2 (en) | Methods for reducing contact depth variation in semiconductor fabrication | |
US11804534B2 (en) | Semiconductor device and manufacturing method thereof | |
US11658119B2 (en) | Backside signal interconnection | |
CN113380706A (zh) | 具有前侧和后侧的半导体结构及其形成方法 | |
TW201913751A (zh) | 半導體元件及其形成方法 | |
TW202147452A (zh) | 半導體裝置及其形成方法 | |
TWI792234B (zh) | 半導體結構及其形成方法 | |
CN113113413A (zh) | 半导体装置的形成方法 |