TW202201697A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202201697A
TW202201697A TW110121305A TW110121305A TW202201697A TW 202201697 A TW202201697 A TW 202201697A TW 110121305 A TW110121305 A TW 110121305A TW 110121305 A TW110121305 A TW 110121305A TW 202201697 A TW202201697 A TW 202201697A
Authority
TW
Taiwan
Prior art keywords
epitaxial
layer
source
drain
dielectric layer
Prior art date
Application number
TW110121305A
Other languages
English (en)
Inventor
蘇煥傑
游力蓁
諶俊元
邱士權
莊正吉
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201697A publication Critical patent/TW202201697A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

根據本發明實施例,一種半導體裝置包含於基板上的底部介電部件、直接位於底部介電部件上方的多個通道構件、環繞每個通道構件的閘極結構、沿著第一方向夾住底部介電部件兩個第一磊晶部件、以及沿著第一方向夾住多個通道構件的兩個第二磊晶部件。

Description

半導體結構
本發明實施例係關於半導體技術,且特別關於一種背側動力軌條(power rail)與其形成方法。
半導體積體電路(integrated circuit,IC)經歷了指數型成長。在積體電路(IC)材料和設計的科技進步已經產出許多代的積體電路(IC),且每一代的積體電路(IC)具有比上一代更小且更複雜的電路。在積體電路(IC)的演變過程中,隨著幾何尺寸(如可使用製造製程創造的最小的組件(component)(或線))的減少,功能密度(例如每個晶片面積上的內連線裝置數目)已普遍性地增加。這樣的微縮化製程普遍地藉由增加生產效率與降低相關成本來提供益處。這種微縮化也增加了處理與製造積體電路(IC)的複雜性。
舉例來說,隨著積體電路(IC)的技術朝向更小的科技節點進展,已經引入多閘極裝置以藉由增加閘極-通道耦合,減少關斷狀態電流與減少短通道效應(short-channel effect,SCE)來改善閘極控制。多閘極裝置一般指具有閘極結構、或其部分的裝置,其設置在通道區的至少一側上。鰭式場效電晶體(Fin-like field effect transistors,FinFETs)與多橋通道(multi-bridge-channe,MBC)電晶體為多閘極裝置的範例,其已經成為高性能與低漏電應用的受歡迎和有希望的候選者。鰭式場效電晶體(FinFET)具有抬升通道(elevated channel),其被至少一側上的閘極環繞 (舉例來說,閘極環繞從基板延伸的半導體材料的鰭片的頂部與側壁)。多橋通道(MBC)電晶體為閘極結構,其可部分或完全延伸圍繞通道區域,以提供在兩側以上的通道的通路(access)。由於其閘極結構環繞通道區域,多橋通道(MBC)電晶體也可以指環繞閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。多橋通道(MBC)電晶體的通道區域可以從奈米線、奈米片、其他奈米結構、及/或其他適合的結構來形成。通道區域的形狀也可以給予多橋通道(MBC)電晶體替代名稱,例如奈米片電晶體或奈米線電晶體。
隨著多閘極裝置的尺寸縮減,封裝基板的一側上的所有接觸件部件變得越來越有挑戰性。為了減輕封裝密度,已經提出了將一些佈線(routing)部件,例如動力線(也稱為動力軌條),到基板的背側。雖然傳統的背側動力軌條形成製程已經大致上足以滿足其預期目的,但它們並非在各個方面都令人滿意。
本發明實施例提供了一種半導體結構,包含:第一磊晶部件與第二磊晶部件;多個通道構件,延伸於第一磊晶部件與第二磊晶部件之間;閘極結構,環繞(wrap around)些通道構件中的每個通道構件;底部介電部件,設置於閘極結構上方;第一襯層,於第一磊晶部件與一部分的底部介電部件的上方;介電層,設置於第一襯層上方;矽化物部件,於第二磊晶部件上並直接接觸第二磊晶部件;背側接觸件,於矽化物部件上方並直接接觸矽化物部件;以及導電襯層,設置於介電層與背側接觸件上方。
本發明實施例提供了一種半導體結構的形成方法,包含:提供一工件,其包含:第一磊晶部件與一第二磊晶部件;多個通道構件,延伸於第一磊晶部件與第二磊晶部件之間;閘極結構,環繞該些通道構件中的每個通道構件;底部介電部件,設置於閘極結構上方;第一基板部分,於第一磊晶部件上方;以及第二基板部分,於第二磊晶部件上方;選擇性凹蝕第一基板部分,以暴露出第一磊晶部件;沉積第一襯層於工件與第一磊晶部件上方;沉積第一介電層於第一襯層上方;以及平坦化工件,使得第一介電層的頂表面與第一基板部分的頂表面共平面。
本發明實施例提供了一種半導體結構的形成方法,包含:提供工件,其包含:第一磊晶部件;第一半導體基部,於第一磊晶部件上方;第二磊晶部件;第二半導體基部,於第二磊晶部件上方;介電鰭片結構,設置於第一磊晶部件與第二磊晶部件之間;以及隔離部件,設置於介電鰭片結構上方,並沿著第一半導體基部與第二半導體基部的側壁;形成圖案化硬罩幕於工件上方,其中第一半導體基部暴露於圖案化硬罩幕中;使用圖案化硬罩幕作為蝕刻遮罩,蝕刻第一半導體基部,以暴露出第一磊晶部件;沉積第一氮化物襯層於第一磊晶部件與隔離部件上方;以及沉積第一介電層於第一氮化物襯層上方。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
再者,此處可能使用空間上的相關用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。當裝置被轉至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。此外,當用「約」,「近似」等描述數字或數字範圍時,該用語旨在包括在合理範圍內的數字,包括所描述的數字,例如所述數量的+/-10%或本領域技術人員理解的其他值。例如,術語「約5nm」包括4.5nm至5.5nm的尺寸範圍。
本發明實施例大致上涉及多閘極電晶體及其製造方法,且特別關於具有背側動力軌條的多閘極電晶體。
多閘極裝置包含其閘極結構形成在通道區的至少兩側上的電晶體。多閘極裝置的示例包含具有鰭狀結構的鰭式場效電晶體(FinFET)和具有多個通道構件的多橋通道(MBC)電晶體。如上所述,多橋通道(MBC)電晶體也可以被稱為環繞閘極電晶體(SGT)、全繞式閘極(GAA)電晶體、奈米片電晶體或奈米線電晶體。這些多閘極裝置可以是n型或p型。多橋通道(MBC)電晶體包含其閘極結構或其一部分形成在通道區的四側(例如,圍繞通道區的一部分)的任何裝置。多橋通道(MBC)裝置可以具有設置在奈米線通道構件、條形通道構件、奈米片通道構件、奈米結構通道構件、橋形通道構件及/或其他適合的通道配置中的通道區域。在多橋通道(MBC)電晶體中,由於通道區與源極/汲極區相鄰,所以當磊晶源極/汲極部件延伸到低於閘極結構的底表面的水平(level)時,可能在源極/汲極區中的磊晶源極/汲極部件和通道區中的閘極結構之間形成額外的閘極-汲極電容。
本發明實施例提供了具有背側動力軌條和減小的閘極-汲極電容的半導體裝置的實施例。本發明實施例的半導體裝置的源極部件藉由背側接觸件導孔耦合到背側電力軌條,並且使汲極部件凹陷以修整(trim)多餘的汲極部件。結果,本發明實施例的半導體裝置具有減小的閘極-汲極電容。
現在將參考附圖更詳細地描述本發明實施例的各個方面。在這方面,第1圖和第10圖係根據本發明實施例繪示出由工件形成半導體裝置的方法100和300之流程圖。方法100和300僅是示例,並且不旨在將本發明實施例限定為在方法100和300中明確繪示出的內容。可以在方法100和300之前、期間和之後提供額外的步驟,並且對於上述方法的額外的實施例,可以取代、消除、或移動所描述的一些步驟。為了簡單起見,本文沒有詳細描述所有步驟。方法100和300結合下面第2A-9B圖(即第2A-9A圖和第2B-9B圖)與第11A-22B圖(即, 第11A-22A圖和第11B-22B圖),其分別是根據方法100和300的實施例的在不同製造階段的工件的局部剖面圖進行描述。為了更佳地描述本發明個個實施例,每個以大寫字母A結尾的圖均繪示出了沿X方向(即閘極結構的長度方向)的工件200(或半導體裝置200)的局部剖面圖。每個以大寫字母B結尾的圖均繪示出了沿Y方向(即鰭狀結構的長度方向)的工件200的局部剖面圖。
參照第1圖、第2A圖與第2B圖,方法100包含方框102,其中提供工件200。如第2A圖與第2B圖所示,工件200包含基板202。在基板202上方,工件200包含在源極磊晶部件212S和汲極磊晶部件212D之間延伸的多個垂直堆疊的通道構件208。閘極結構210設置在多個通道構件208的每一個上方並環繞每個通道構件208。多個通道構件208的形成可以包含鰭形結構的形成,前述鰭形結構包含基板202的一部分。如第2B圖所示,由基板202形成的源極基部202SB設置在源極磊晶部件212S的下方,而由基板202形成的汲極基部202DB設置在汲極磊晶部件212D的下方。參照第2A圖,可以是鰭狀結構的基部的基板202,包含被源極/汲極區202S/202D交錯(interleave)的通道區202C。基板202的基部,例如源極基部202SB與汲極基部202DB,藉由第2B圖所示的隔離部件203彼此隔離。源極磊晶部件212S和汲極磊晶部件212D設置在源極/汲極區202S/202D上方,並且閘極結構210設置在通道區202C上方。閘極結構210藉由多個內間隔部件206與相鄰的源極磊晶部件212S或相鄰的汲極磊晶部件212D隔離。閘極結構210中位於最頂部通道構件208上方的部分,襯有閘極間隔部件209。閘極結構210藉由底部介電層204與基板202間隔開。在一些實施例中,源極磊晶部件212S和汲極磊晶部件212D可以包含基礎(foundation)磊晶部件211。如第2B圖所示的實施例中,工件200可以包含多個介電鰭片224,以隔離源極磊晶部件212S和汲極磊晶部件212D。可以在每個閘極結構210上方形成閘極自對準接觸件(self-aligned contact,SAC)介電層214。
在一些實施例中,基板202可以是如矽基板的半導體基板。基板202也可以包括其他半導體,例如鍺、碳化矽(SiC)、矽鍺(SiGe)或金剛石。替代地,基板202可以包含化合物半導體及/或合金半導體。在所描繪的實施例中,基板202是矽基板。為了方便參考,可以將基板202和將在其上形成的膜層或部件統稱為工件200。由於在完成製造過程後工件200將製造成半導體裝置200,因此可以根據上下文需要,將工件200稱為半導體裝置200。通道構件208可以包含如矽的半導體材料,如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體,如GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP或其組合的合金半導體。
隔離部件203也可以稱為淺溝槽隔離(shallow trench isolation,STI)部件203。隔離部件203可以包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數(low-k)介電質、其組合及/或其他適合的材料。閘極間隔部件209可以是單層或多層。在一些情況下,閘極間隔部件209可以包含氧化矽、碳氧化矽、碳氮化矽、氮化矽、氧化鋯、氧化鋁、適合的低介電常數(low-k)介電材料或適合的介電材料。內間隔部件206可以包含氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、氮化矽、富碳的碳氮化矽或低介電常數(low-k)介電材料。這裡的金屬氧化物可以包含氧化鋁、氧化鋯、氧化鉭、氧化釔、氧化鈦、氧化鑭或其他適合的金屬氧化物。底部介電層204也可以被稱為底部自對準接觸(SAC)介電層204。在一些情況下,底部介電層204可以由氧化矽、氮化矽、碳氧化矽、碳氮氧化矽、碳氮化矽或適合的介電材料。沿著Z方向,底部介電層204可以具有在約5nm與約30nm之間的厚度。根據裝置的類型,源極磊晶部件212S和汲極磊晶部件212D可以包含摻雜n型摻質的矽,例如磷(P)或砷化物(As),或者摻雜p型摻質的矽鍺,例如硼(B)。與源極磊晶部件212S和汲極磊晶部件212D相比,基礎磊晶部件211可以由摻雜濃度比源極磊晶部件212S或汲極磊晶部件212D小的類似的半導體材料形成。閘極自對準接觸件(SAC)介電層214可以由氧化矽、矽酸鉿、氮化矽、碳氧化矽、氧化鋁、矽化鋯、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁、氧化鋅、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、碳氮氧化矽、氮化鋯、碳氮化矽或適合的介電材料。多個介電鰭片224可以是單層或多層,並且可以包含高介電常數(high-k)(即,介電常數大於3.9)的介電材料或低介電常數(low-k)(即,介電常數小於或等於3.9)的介電材料。高介電常數(high-k)介電材料的示例包括氧化鉿、氧化鋯、氧化鋁鉿、氧化矽鉿和氧化鋁。示例性的低介電常數(low-k)介電材料包含碳氮化矽、碳氧化矽和碳氮氧化矽。
雖然在第2A圖中未明確繪示出,但是閘極結構210包含介面層、位於介面層上方的閘極介電層和位於閘極介電層上方的閘極電極。介面層可以包含介電材料,例如氧化矽、矽酸鉿或氮氧化矽。閘極介電層可以包含高介電常數(high-k)介電材料,其中高介電常數(high-k)介電材料的介電常數大於二氧化矽的介電常數(約為3.9)。在一些情況下,閘極介電層可以包括氧化鉿、氧化鋯、氧化鋁鋯、氧化鋁鉿、氧化矽鉿、氧化鋁、氧化鈦、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化鋯、其組合或其他適合的材料。在一些情況下,閘極介電層的厚度可以在約5nm與約30nm之間。閘極電極可包括單層或多層結構,例如具有選定的功函數的金屬層以增強裝置性能(功函數金屬層)、襯層、濕潤層、黏合層、金屬合金、金屬矽化物的各種組合。舉例來說,閘極電極可以包含Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu,W、Re、Ir、Co、Ni、其他適合的金屬材料或其組合。
仍然參考第1圖、第2A圖及第2B圖,方法100包括方框104,其中形成閘極接觸件216和汲極接觸件導孔220。在一些實施例中,在工件200上方沉積接觸蝕刻停止層(contact etch stop layer,CESL)213。接觸蝕刻停止層(CESL)213可以包含氮化矽、氧化矽、氧氮化矽及/或本領域中已知的其他材料。然後凹蝕源極/汲極區202S/202D,以暴露出在第一介電層215-1中的前源極/汲極接觸件開口中的源極磊晶部件212S和汲極磊晶部件212D。在第2A圖和第2B圖所示的一些實施例中,源極磊晶部件212S和汲極磊晶部件212D是凹陷的。然後將源極/汲極接觸件218沉積在源極磊晶部件212S和汲極磊晶部件212D上方。在第2A圖和第2B圖所示的一些實施例中,可以在沉積源極/汲極接觸件218之前,在暴露的源極磊晶部件212S和汲極磊晶部件212D上方沉積源極/汲極接觸件矽化物層2180。接著,將第二介電層215-2填充於前源極/汲極接觸件開口中。在平坦化工件200的頂表面之後,在工件200上方沉積蝕刻停止層(etch stop layer,ESL)217,並且在蝕刻停止層(ESL)217上方沉積第三介電層219。穿過第三介電層219、蝕刻停止層(ESL)217和閘極自對準接觸件(SAC)介電層214形成閘極接觸件開口,以暴露出閘極結構210。然後在閘極接觸件開口中沉積閘極接觸件216。在一些實施方式中,可以在閘極結構210和閘極接觸件216之間沉積膠層205,以提高附著力並減小接觸電阻。穿過第三介電層219、蝕刻停止層(ESL)217和第二介電層215-2形成汲極接觸件導孔開口,以暴露出設置在汲極磊晶部件212D上方的源極/汲極接觸件218。然後,在汲極接觸件導孔開口中形成汲極接觸件導孔220,以耦合至汲極磊晶部件212D。如第2A圖和第2B圖所示,在源極磊晶部件212S上方未形成源極接觸導孔。
在一些實施例中,接觸蝕刻停止層(CESL)213和蝕刻停止層(ESL)217可以由氮化矽、氧化矽、氮氧化矽及/或本領域已知的其他材料形成。第一介電層215-1、第二介電層215-2和第三介電層219可以包含如四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)氧化物的材料、未摻雜矽酸鹽玻璃或摻雜矽氧化物,如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG) 、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(BSG)及/或其他適合的介電材料。源極/汲極接觸件218、汲極接觸件導孔220和閘極接觸件216可以由氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。源極/汲極接觸件矽化物層2180可以包含矽鈦(TiSi)、氮化矽鈦(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。膠層205可以包含氮化鈦(TiN)。閘極自對準接觸件(SAC)介電層214可以包含碳化矽(SiC) 、氧化鑭(LaO)、氧化鋁(AlO)、氧氮化鋁(AlON)、氧化鋯(ZrO)、氧化鉿(HfO)、氮化矽(SiN)、矽(Si)、氧化鋅(ZnO)、氮化鋯(ZrN)、氧化鋁鋯(ZrAlO)、氧化鈦(TiO)、氧化鉭(TaO)、氧化釔(YO)、碳氮化鉭(TaCN)、矽化鋯(ZrSi) 、碳氮氧化矽(SiOCN)、碳氧化矽(SiOC)、碳氮化矽(SiCN),矽化鉿(HfSi)或氧化矽(SiO)。
參照第1圖、第2A圖和第2B圖,方法100包含方框106,其中載體基板222鍵結(bond)在工件200的前側上。在形成閘極接觸件216和汲極接觸件導孔220之後,內連線結構221可以形成在工件200的前側上方。儘管沒有詳細繪示出,內連線結構221可以包含在多個金屬間介電(intermetal dielectric,IMD)層中形成的多個導線層。內連線結構221中的多個導線層可以藉由多個接觸導孔垂直連接。在一些實施方式中,內連線結構221可以包括多個後段(back-end-of-line,BEOL)裝置,例如功率電晶體和金屬-絕緣體-金屬(metal-insulator-metal,MIM)電容。在方框106處,藉由混合鍵結、熔融鍵結,使用黏合層或其組合將載體基板222鍵結到內連線結構221。在一些情況下,載體基板222可以由半導體材料、藍寶石、玻璃、聚合物材料或其他適合的材料形成。應注意的是,內連線結構221和載體基板222僅在第2A圖和第2B圖中示出,並且為了簡單起見,在其餘的圖中省略了它們。為了避免疑問,在整個本發明實施例中,工件200的前側是指與內連線結構221相鄰的一側,而工件200的背側是指遠離內連線結構221的一側。
參照第1圖、第3A圖、第3B圖、第4A圖和第4B圖,方法100包括方框108,其中翻轉(filp over)工件200,並且在汲極磊晶部件212D上方的汲極基部202DB上方形成第一圖案化硬罩幕226-1。在將載體基板222鍵結到工件200之後,翻轉工件200,如第3A圖和第3B圖所示。應注意的是,X、Y、Z方向指示也與工件200一起翻轉,並且工件200的背側現在面朝上。如第3B圖所示,基板202可以被研磨或平坦化,直到隔離部件203的頂表面、汲極基部202DB的頂表面和源極基部202SB的頂表面共平面。現在參照第4A圖和第4B圖,在工件200上方形成第一圖案化硬罩幕226-1,以在源極磊晶部件212S上方暴露出源極基部202SB。為了形成第一圖案化硬罩幕226-1,藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)或適合的製程在工件200上方毯覆式(blanketly)沉積硬罩幕材料層,並且在硬罩幕材料層上沉積光阻層228。然後,在預烘烤製程中烘烤光阻層228,使其暴露於從光遮罩反射或透射通過光遮罩的輻射,在後烘烤製程中進行烘烤,並在顯影劑溶液中顯影,以形成圖案化光阻層228。然後,使用圖案化光阻層228蝕刻硬遮罩材料層,以形成第一圖案化硬罩幕226-1。第一圖案化硬罩幕226-1可以是單層或多層。在一些實施例中,第一圖案化硬罩幕226-1可以包含氧化矽、氮化矽、氮氧化矽、碳氮化矽或碳氮氧化矽。
參照第1圖、第5A圖和第5B圖,方法100包含方框110,其中使用第一圖案化硬罩幕226-1作為蝕刻遮罩來蝕刻基板202,以去除源極磊晶部件212S上方的源極基部202SB。在方框110處,在對矽或矽鍺沒有選擇性的蝕刻製程中非等向性蝕刻第一圖案化硬罩幕226-1中暴露的源極基部202SB。在一些情況下,蝕刻製程可以是乾蝕刻製程,其使用氯(Cl2 )、氧氣(O2 )、含碳和氟的氣體、含溴和氟的氣體以及含碳-氫和氟的氣體混合物。在一實例中,乾蝕刻製程包含Cl2 、O2 、CF4 、BCl3 和CHF3 的氣體混合物。結果,蝕刻製程也蝕刻底部介電層204並導致圓角2040。在方框110處,源極磊晶部件212S也凹陷。在第5A圖和第5B圖的實施例中,凹蝕源極磊晶部件212S的頂表面到底部介電層204和隔離部件203下方的水平。在方框110處的操作結束時,形成背側源極接觸件溝槽236。如第5A圖和第5B圖所示,背側源極接觸件溝槽236延伸穿過隔離部件203和底部介電層204。
參照第1圖、第6A圖和第6B圖,方法100包含方框112,其中在源極磊晶部件212S上形成矽化物層240。在方框110處暴露出源極磊晶部件212S並使其凹陷之後,可以執行預清洗製程以從源極磊晶部件212S去除氧化物污染。在預清洗製程之後,藉由物理氣相沉積(physical vapor deposition,PVD)或化學氣相沉積(CVD)將金屬前驅物沉積在工件200上方,並對其進行退火,以在源極磊晶部件212S中的金屬前驅物與矽之間發生矽化。結果,在源極磊晶部件212S上形成矽化物層240。如第6A圖和第6B圖所示,金屬前驅物也可以沉積在背側源極接觸件溝槽236的側壁上。也就是說,金屬前驅物可以與隔離部件203、底部介電層204和源極磊晶部件212S的頂表面接觸。在第6A圖和第6B圖所示的一些實施例中,隔離部件203、底部介電層204和源極磊晶部件212S上的金屬前驅物可以在氮氣環境中被氮化以形成襯層238。在一些實施方式中,金屬前驅物可以包括鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬(refractory metal)、其他稀土金屬或其合金。矽化物層240可以包含金屬前驅物的矽化物。例如,矽化物層240可以由矽化鈦、矽化鉭或矽化鈷形成。襯層238可包含氮化矽鈦、氮化矽鉭或氮化矽鈷。
參照第1圖、第6A圖和第6B圖,方法100包括方框114,其中在矽化物層240上沉積金屬填充層,以形成背側源極接觸件242。金屬填充層可以包含氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN),並且可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或化學鍍來沉積。在將金屬填充層沉積到背側源極接觸件溝槽236中之後,藉由如化學機械研磨(chemical mechanical polishing,CMP)製程的平坦化製程來平坦化工件200,以去除多餘的材料並形成背側源極接觸件242。在平坦化製程之後,基板202、襯層238和背側源極接觸件242的頂表面可以是共平面。在一些情況下,矽化物層240可以具有在約1nm與約10nm之間的厚度。
參照第1圖、第7A圖和第7B圖,方法100包括方框116,其中選擇性地去除在汲極磊晶部件212D上方的汲極基部202DB,以在汲極凹陷溝槽230中暴露出汲極磊晶部件212D。在一些實施例中,在不使用任何硬罩幕層的情況下,在蝕刻製程中等向性並選擇性蝕刻由基板202和基板202的一部分所形成的汲極基部202DB。因為在方框116處的蝕刻製程對基板202的半導體材料是具有選擇性的,所以汲極凹陷溝槽230中的底部介電層204基本上沒有第5A圖所示的圓角2040。方框116處的示例蝕刻製程可以是使用稀釋氟酸(diluted fluoric acid,DHF)和硝酸溶液的濕蝕刻製程。
參照第1圖、第7A圖和第7B圖,方法100包含方框118,其中使汲極磊晶部件212D凹陷。在方框116處的操作後的暴露出汲極磊晶部件212D之後,在方框116中使用的選擇性蝕刻製程繼續使汲極磊晶部件212D凹陷,直到汲極磊晶部件212D的頂表面低於底部介電層204和隔離部件203的底表面。與第3A圖中的未凹陷的汲極磊晶部件212D相比,第7A圖中的凹陷汲極磊晶部件212D與閘極結構210形成了較小的閘極汲極電容。
參照第1圖、第8A圖和第8B圖,方法100包含方框120,其中在工件200上方沉積第四介電層234。第四介電層234可以具有與第一介電層215-1、第二介電層215-2和第三介電層219相似的組成。在沉積第四介電層234之後,使用如化學機械研磨(CMP)製程的平坦化製程來平坦化工件200,以去除多餘的材料並暴露出背側源極接觸件242。
參照第1圖、第9A圖和第9B圖,方法100包含方框122,其中形成與背側源極接觸件242接觸的動力軌條線244。儘管沒有明確示出,但是動力軌條線244可以限定於絕緣層中。在示例製程中,可以將具有與第四介電層234相似的組成的絕緣層沉積在工件200上方,並且可以在絕緣層中圖案化動力軌條溝槽。然後將金屬填充材料沉積到動力軌條溝槽中以形成動力軌條線244。在一些實施例中,動力軌條線244可以由氮化鈦(TiN)、鈦(Ti)、釕(Ru)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、鉭(Ta)、氮化鉭(TaN)或鉬(Mo)形成,並且可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或化學鍍來沉積。可以執行如化學機械研磨(CMP)製程的平坦化製程,以去除多餘的金屬填充材料。
在方框122處的操作結束時,基本上形成第一多橋通道(MBC)電晶體250。第一多橋通道(MBC)電晶體250包含在汲極磊晶部件212D和源極磊晶部件212S之間延伸的多個通道構件208。汲極磊晶部件212D藉由從工件200的前側的汲極接觸件導孔220通路,並且源極磊晶部件212S藉由從工件200的相對的背側的背側源極接觸件242通路。儘管汲極磊晶部件212D無法藉由從背側的任何接觸件部件通路,其從背側凹陷以減小閘極-汲極電容。如第9A圖所示,背側源極接觸件242包含延伸穿過底部介電層204的第一部分和在底部介電層204上方的第二部分。沿著Z方向,第一部分具有在約0nm至約35nm之間的第一深度D1,並且第二部分具有在約0nm至60nm之間的第二深度D2。在一個實施例中,第一深度D1在約5nm與約15nm之間。在一些實施例中,第四介電層234的一部分在底部介電層204的部分之間延伸約0nm至約35nm之間的第三深度D3。在一實施例中,第三深度D3介於約5nm與約15nm之間。參照第9B圖,沿著Y方向,背側源極接觸件242可以具有在約6nm與約40nm之間的寬度W。在一實施例中,寬度W在約6nm至約10nm之間。
除了第1圖所示的方法100以外,本發明實施例還提供了第10圖中的替代示例方法300。下面將結合第11A-22B圖中的局部剖面圖來描述方法300。應注意的是,在整個本發明實施例中,相似的部件可以共享相似的附圖標記。除非另有說明,否則具有相同附圖標記的部件可以共享基本上相同的形成製程和材料。
參照第10圖、第11A圖和第11B圖,方法300包含方框302,其中提供了工件200。因為第11A圖和第11B圖所示的結構和部件類似於第2A圖和第2B圖,為了簡潔起見,省略第11A圖和第11B圖所示的工件200的詳細說明。
仍然參照第10圖、第11A圖和第11B圖,方法300包含方框304,其中形成閘極接觸件216和汲極接觸件導孔220。因為在方框304處的操作與上文關於方法100的方框104所描述的操作基本上相似,所以為簡潔起見,省略了第11A圖和第11B圖以及方框304的詳細說明。
參照第10圖、第11A圖和第11B圖,方法100包含方框306,其中載體基板222鍵結在工件200的前側上。由於方框306處的操作與方法100的方框106處的操作基本上相似,因此為簡潔起見,省略了在方框306處的操作的詳細描述。
參照第10圖、第12A圖、第12B圖、第13A圖和第13B圖,方法100包含方框308,其中翻轉工件200,並且在源極磊晶部件212S上方的源極基部202SB上方形成第二圖案化硬罩幕226-2。在將載體基板222鍵結到工件200之後,翻轉工件200,如第12A圖和第12B圖所示。應注意的是,X、Y、Z方向指示也與工件200一起翻轉,並且工件200的背側現在面朝上。如第12B圖所示,基板202可以被研磨或平坦化,直到隔離部件203的頂表面、汲極基部202DB的頂表面和源極基部202SB的頂表面共平面。現在參照第13A圖和第13B圖,在工件200上方形成第二圖案化硬罩幕226-2,以在汲極磊晶部件212D上方暴露出汲極基部202DB。為了形成第二圖案化硬罩幕226-2,藉由化學氣相沉積(CVD)或原子層沉積(ALD)或適合的製程在工件200上方毯覆式沉積硬罩幕材料層,並且在硬罩幕材料層上沉積光阻層228。然後,在預烘烤製程中烘烤光致抗蝕劑層228,使其暴露於從光遮罩反射或透射通過光遮罩的輻射,在後烘烤製程中進行烘烤,並在顯影劑溶液中顯影,以形成圖案化的光阻層228。然後,使用圖案化光阻層228蝕刻硬遮罩材料層,以形成第二圖案化硬罩幕226-2。第二圖案化硬罩幕226-2可以是單層或多層。在一些實施例中,第二圖案化硬罩幕226-2可以包含氧化矽、氮化矽、氮氧化矽、碳氮化矽或碳氮氧化矽。
參照第10圖、第14A圖和第14B圖,方法300包含方框310,其中使用第二圖案化的硬罩幕226-2作為蝕刻遮罩來蝕刻基板202,以去除汲極磊晶部件212D上方的汲極基部202DB。在方框310處,以對矽或矽鍺沒有選擇性的蝕刻製程非等向性蝕刻第二圖案化硬罩幕226-2中暴露的汲極基部202DB。在一些情況下,蝕刻製程可以是乾蝕刻製程,其使用氯(Cl2 )、氧氣(O2 )、含碳和氟的氣體、含溴和氟的氣體以及含碳-氫和氟的氣體混合物。在一實例中,乾蝕刻製程包含Cl2 、O2 、CF4 、BCl3 和CHF3 的氣體混合物。結果,蝕刻製程也蝕刻底部介電層204並導致圓角2040。在方框310處,汲極磊晶部件212D也凹陷。在第14A圖和第14B圖的實施例中,凹蝕汲極磊晶部件212D的頂表面到底部介電層204和隔離部件203下方的水平。在方框310處的操作結束時,形成了背側汲極凹陷溝槽230。如第14A圖和第14B圖所示,背側汲極凹陷溝槽230延伸穿過隔離部件203和底部介電層204。
參照第10圖、第15A圖和第15B圖,方法300包含方框312,其中沉積第一襯層232於工件200上方。如第15A圖和第15B圖所示,毯覆式沉積第一襯層232於工件200上方。第一襯層232在底部介電層204、源極基部202SB的側壁、第二圖案化硬罩幕226-2的頂表面和側壁上並與之接觸。在一些實施方式中,可以使用化學氣相沉積(CVD)或原子層沉積(ALD)來沉積第一襯層232。第一襯層232可以由氮化矽、碳化矽、碳氮化矽或具有與隔離部件203不同選擇性的材料形成。由緻密(dense)且無氧原子的材料形成的第一襯層232,可以用於將凹陷汲極磊晶部件212與含氧的介電材料(例如第四介電層234)隔離。
參照第10圖、第15A圖和第15B圖,方法300包含方框314,其中第四介電層234沉積於第一襯層232上方。第四介電層234可以具有與第一介電層215-1、第二介電層215-2和第三介電層219相似的組成。在一些實施方式中,可以使用旋轉塗佈或化學氣相沉積(CVD)來沉積第四介電層234。如第15A圖和第15B圖所示,在方框314處,第四介電層234沉積在第一襯層232上並與第一襯層232接觸。
參照第10圖、第16A圖和第16B圖,方法300包含方框316,其中平坦化工件200以暴露出源極基部202SB。如第16A圖和第16B圖所示,可以在如化學機械研磨(CMP)製程的平坦化製程中平坦化工件200,以去除隔離部件203的頂(top-facing)表面上的第二圖案化硬罩幕226-2和第一襯層232,以提供平坦的頂表面。在方框316處的操作結束時,暴露出源極基部202SB的頂表面。
參照第10圖、第17A圖和第17B圖,方法300包含方框318,其中選擇性地去除源極基部202SB,以形成源極接觸件溝槽236。在一些實施例中,在不使用任何硬罩幕層的情況下,在蝕刻製程中等向性並選擇性蝕刻由基板202及基板202的一部分形成的源極基部202SB。因為在方框318處的蝕刻製程對基板202的半導體材料是具有選擇性的,所以汲極凹陷溝槽230中的底部介電層204基本上沒有第14A圖所示的圓角2040。方框318處的示例蝕刻製程可以是使用稀釋氟酸(DHF)和硝酸溶液的濕蝕刻製程。如第17A圖所示,在X-Z平面上的源極接觸件溝槽236的側壁襯有第一襯層232。在方框318處,在選擇性去除源極基部202SB之後,使源極磊晶部件212S凹陷。在一些情況下,使源極磊晶部件212S的頂表面凹陷到底部介電層204和隔離部件203下方的水平。
參照第1圖、第18A圖和第18B圖,方法300包含方框320,其中第二襯層239沉積在源極接觸件溝槽236上方。如第18A圖和第18B圖所示,第二襯層239毯覆式沉積在工件200上方,以內襯(line)於源極接觸件溝槽236的側壁。此外,第二襯層239在第四介電層234的頂表面、隔離部件203、源極磊晶部件212S的頂表面、以及內襯於源極接觸件溝槽236的側壁(沿著第18A圖所示的X-Z平面)上的第一襯層232上並與之接觸。在一些實施方式中,可以使用化學氣相沉積(CVD)或原子層沉積(ALD)來沉積第二襯層239。第二襯層239可以由氮化矽、碳化矽、碳氮化矽或具有與隔離部件203或第四介電層234不同選擇性的材料形成。
參照第10圖、第19A圖和第19B圖,方法300包含方框322,其中非等向性凹蝕第二襯層239以暴露出源極磊晶部件212S。在一些情況下,非等向性刻蝕製程可以為乾刻蝕製程,其使用氯氣(Cl2 )、氮氣(N2 )、含碳和氟的氣體、含溴和氟的氣體以及含碳-氫和氟的氣體混合物。在一實例中,乾蝕刻製程包含Cl2 、N2 、CF4 、BCl3 和CHF3 的氣體混合物。如第19A圖和第19B圖,在方框322處的操作結束時,去除在工件200的頂表面上的第二襯層239。結果,暴露出第四介電層234、隔離部件203、第一襯層232、底部介電層204和源極磊晶部件212S的頂表面。方框322處的操作未去除源極接觸件溝槽236的側壁上的第二襯層239。由於在方框322處,第二襯層239沿著源極接觸件溝槽236的側壁凹陷,因此第二襯層239的厚度比第一襯層232小。在一些實施例中,第一襯層232的厚度可以在約3nm至約10nm之間,而第二襯層239的厚度可以在約1nm至約5nm之間。
參照第10圖、第20A圖和第20B圖,方法300包含方框324,其中在源極磊晶部件212S上形成矽化物層240。在方框322處暴露出源極磊晶部件212S並使其凹陷之後,可以執行預清洗製程,以從源極磊晶部件212S去除氧化物污染。在預清洗製程之後,藉由物理氣相沉積(PVD)或化學氣相沉積(CVD)將金屬前驅物沉積在工件200上方,並對其進行退火,以在源極磊晶部件212S中的金屬前驅物與矽之間發生矽化。結果,在源極磊晶部件212S上形成矽化物層240。如第20A圖和第20B圖所示,金屬前驅物也可以沉積在背側源極接觸件溝槽236的側壁上。也就是說,金屬前驅物可以與隔離部件203、源極接觸件溝槽236的側壁上的第二襯層239、底部介電層204和源極磊晶部件212S的頂表面接觸。在第20A圖和第20B圖所示的一些實施例中,可以在氮環境中氮化矽化物層240的一部分,以形成金屬氮矽化物。在一些實施方式中,金屬前驅物可以包括鈦、鉭或鈷。矽化物層240可以包括矽化鈦、矽化鉭、矽化鈷、氮化鈦矽、氮化鉭矽或氮化矽鈷。
參照第10圖、第21A圖和第21B圖,方法300包含方框326,其中在源極接觸件溝槽中沉積金屬填充層,以形成背側源極接觸件242。金屬填充層可以包含氮化鈦(TiN)、鈦(Ti)、釕(Ru)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、鉭(Ta)、氮化鉭(TaN)或鉬(Mo),並且可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或化學鍍來沉積。在將金屬填充層沉積到背側源極接觸件溝槽236中之後,藉由如化學機械研磨(CMP)製程的平坦化製程來平坦化工件200,以去除多餘的材料並形成背側源極接觸件242。在平坦化製程之後,基板202、襯層238和背側源極接觸件242的頂表面可以是共平面。
參照第10圖、第22A圖和第22B圖,方法300包含方框328,其中形成與背側源極接觸件242接觸的動力軌條線244。儘管沒有明確示出,但是動力軌條線244可以限定於絕緣層中。在示例製程中,可以將具有與第四介電層234相似的組成的絕緣層沉積在工件200上方,並且可以在絕緣層中圖案化動力軌條溝槽。然後將金屬填充材料沉積到動力軌條溝槽中以形成動力軌條線244。在一些實施例中,動力軌條線244可以由氮化鈦(TiN)、鈦(Ti)、釕(Ru)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、鉭(Ta)、氮化鉭(TaN)或鉬(Mo)形成,並且可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)或化學鍍來沉積。可以執行如化學機械研磨(CMP)製程的平坦化製程,以去除多餘的金屬填充材料。
在方框326處的操作結束時,基本上形成第二多橋通道(MBC)電晶體252。第二多橋通道(MBC)電晶體252包含在汲極磊晶部件212D和源極磊晶部件212S之間延伸的多個通道構件208。汲極磊晶部件212D藉由從工件200的前側的汲極接觸件通孔220通路,並且源極磊晶部件212S藉由從工件200的相對的背側的背側源極接觸件242通路。 儘管汲極磊晶部件212D無法藉由從背側的任何接觸件部件 212通路,其從背側凹陷以減小閘極-汲極電容。如第22A圖所示,背側源極接觸件242包含延伸穿過底部介電層204的第一部分和在底部介電層204上方的第二部分。沿著Z方向,第一部分具有在約0nm至約35nm之間的第一深度D1,並且第二部分具有在約0nm至60nm之間的第二深度D2。在一個實施例中,第一深度D1在約5nm與約15nm之間。在一些實施例中,第四介電層234的一部分在底部介電層204的部分之間延伸約0nm至約35nm之間的第三深度D3。在一實施例中,第三深度D3介於約5nm與約15nm之間。參照第22B圖,沿著Y方向,背側源極接觸件242可以具有在約6nm與約40nm之間的寬度W。在一實施例中,寬度W在約6nm至約10nm之間。
本發明實施例提供了優點。例如,本發明實施例提供了具有背側動力軌條和減小的閘極-汲極電容的多閘極電晶體的實施例。在一個實施例中,根據本發明實施例的多橋通道(MBC)電晶體包含在汲極磊晶部件和源極磊晶部件之間延伸的多個垂直堆疊的通道構件。閘極結構環繞多個通道構件中的每一個通道構件。汲極磊晶部件從鄰近內連線結構的前側通路,並且源極磊晶部件從遠離內連線結構的背側通路。儘管汲極磊晶部件無法從背側通路,但它從背側凹陷以減小閘極-汲極電容。結果,根據本發明實施例的多橋通道(MBC)電晶體具有改善的性能。
本發明實施例提供了一種半導體結構,包含:第一磊晶部件與第二磊晶部件;多個通道構件,延伸於第一磊晶部件與第二磊晶部件之間;閘極結構,環繞(wrap around)些通道構件中的每個通道構件;底部介電部件,設置於閘極結構上方;第一襯層,於第一磊晶部件與一部分的底部介電部件的上方;介電層,設置於第一襯層上方;矽化物部件,於第二磊晶部件上並直接接觸第二磊晶部件;背側接觸件,於矽化物部件上方並直接接觸矽化物部件;以及導電襯層,設置於介電層與背側接觸件上方。
在一些實施例中,背側接觸件的側壁襯有第二襯層。
在一些實施例中,第二襯層的厚度小於第一襯層的厚度。
在一些實施例中,一部分的背側接觸件延伸穿過底部介電部件。
在一些實施例中,一部分的第一襯層與一部分的介電層延伸穿過底部介電部件。
在一些實施例中,一部分的背側接觸件設置於底部介電部件上方。
在一些實施例中,矽化物部件包括金屬矽化物與金屬矽化物氮化物。
本發明實施例提供了一種半導體結構的形成方法,包含:提供一工件,其包含:第一磊晶部件與一第二磊晶部件;多個通道構件,延伸於第一磊晶部件與第二磊晶部件之間;閘極結構,環繞該些通道構件中的每個通道構件;底部介電部件,設置於閘極結構上方;第一基板部分,於第一磊晶部件上方;以及第二基板部分,於第二磊晶部件上方;選擇性凹蝕第一基板部分,以暴露出第一磊晶部件;沉積第一襯層於工件與第一磊晶部件上方;沉積第一介電層於第一襯層上方;以及平坦化工件,使得第一介電層的頂表面與第一基板部分的頂表面共平面。
在一些實施例中,選擇性凹蝕以暴露出第一磊晶部件的步驟包括凹蝕第一磊晶部件。
在一些實施例中,上述方法更包括:選擇性凹蝕第二基板部分以暴露出在背側接觸件溝槽的第二磊晶部件;沉積第二襯層於工件與背側接觸件溝槽上方;非等向性凹蝕第二襯層以暴露出一部分的第二磊晶部件;形成矽化物部件於第二磊晶部件的暴露部分上;以及沉積金屬材料於背側接觸件溝槽中,以形成背側接觸件。
在一些實施例中,上述方法更包括:平坦化第一介電層、第一襯層、第二襯層、與背側接觸件,以形成平坦表面;沉積第二介電層於平坦表面上;以及形成導電線於第二介電層中,使得導電線直接接觸背側接觸件。
在一些實施例中,選擇性凹蝕第一基板部分以暴露出第二磊晶部件的步驟包括凹蝕第二磊晶部件。
在一些實施例中,第二襯層的厚度比第一襯層的厚度小。
在一些實施例中,第一襯層與第二襯層包括氮化矽。
本發明實施例提供了一種半導體結構的形成方法,包含:提供工件,其包含:第一磊晶部件;第一半導體基部,於第一磊晶部件上方;第二磊晶部件;第二半導體基部,於第二磊晶部件上方;介電鰭片結構,設置於第一磊晶部件與第二磊晶部件之間;以及隔離部件,設置於介電鰭片結構上方,並沿著第一半導體基部與第二半導體基部的側壁;形成圖案化硬罩幕於工件上方,其中第一半導體基部暴露於圖案化硬罩幕中;使用圖案化硬罩幕作為蝕刻遮罩,蝕刻第一半導體基部,以暴露出第一磊晶部件;沉積第一氮化物襯層於第一磊晶部件與隔離部件上方;以及沉積第一介電層於第一氮化物襯層上方。
在一些實施例中,蝕刻的步驟包括凹蝕第一磊晶部件。
在一些實施例中,上述方法更包括:平坦化工件以移除圖案化硬罩幕,使得第一氮化物襯層、第一介電層、隔離結構、與第二磊晶部件的頂表面為共平面。
在一些實施例中,上述方法更包括:凹蝕第二半導體基部以暴露出於背側接觸件溝槽中的第二磊晶部件;凹蝕暴露出的第二磊晶部件;沉積第二氮化物襯層於凹蝕的第二磊晶部件與背側接觸件溝槽上方;以及非等向性凹蝕第二氮化物襯層,以暴露出第二磊晶部件。
在一些實施例中,上述方法更包括:形成矽化物部件於第二磊晶部件上;以及沉積一金屬材料於背側接觸件溝槽中,以形成一背側接觸件。
在一些實施例中,上述方法更包括:平坦化第一介電層、第一氮化物襯層、第二氮化物襯層、隔離部件、與背側接觸件,以形成平坦表面;沉積第二介電層於平坦表面上;以及形成導電線於第二介電層中,使得導電線直接接觸背側接觸件。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:方法 102,104,106,108,110,112,114,116,118,120,122:方框 200:工件 202:基板 202C:通道區 202D:汲極區 202S:源極區 202SB:源極基部 202DB:汲極基部 203:隔離部件 204:底部介電層 205:膠層 206:內間隔部件 208:通道構件 209:閘極間隔部件 210:閘極結構 211:基礎磊晶部件 212S:源極磊晶部件 212D:汲極磊晶部件 213:接觸蝕刻停止層 214:閘極自對準接觸件介電層 215-1:第一介電層 215-2:第二介電層 216:閘極接觸件 217:沉積蝕刻停止層 218:源極/汲極接觸件 219:第三介電層 220:汲極接觸件導孔 221:內連線結構 222:載體基板 224:介電鰭片 226-1:第一圖案化硬罩幕 226-2:第二圖案化硬罩幕 228:光阻層 230:汲極凹陷溝槽 232:第一襯層 234:第四介電層 236:源極接觸件溝槽 238:襯層 239:第二襯層 240:矽化物層 242:背側源極接觸件 244:動力軌條線 250:第一多橋通道(MBC)電晶體 300:方法 302,304,306,308,310,312,314,316,318,320,322,324,326,328:方框 2040:圓角 2180:源極/汲極接觸件矽化物層 D1:第一深度 D2:第二深度 D3:第三深度 W: 寬度 X,Y,Z:方向
以下將配合所附圖式詳述本揭露之各面向。應強調的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據本發明實施例的一或多個面向,繪示出形成具有背側電力軌條的半導體裝置的方法之流程圖。 第2A-9A與2B-9B圖係根據本發明實施例的一或多個面向,根據第1圖的方法繪示出在製造製程期間工件的局部剖面圖。 第10圖係根據本發明實施例的一或多個面向,繪示出形成具有背側電力軌條的半導體裝置的替代方法之流程圖。 第11A-22A與11B-22B圖係根據本發明實施例的一或多個面向,根據第10圖的方法繪示出在製造製程期間工件的局部剖面圖。
200:工件
202C:通道區
202D:汲極區
202S:源極區
204:底部介電層
206:內間隔部件
208:通道構件
210:閘極結構
212S:源極磊晶部件
212D:汲極磊晶部件
220:汲極接觸件導孔
234:第四介電層
238:襯層
242:背側源極接觸件
244:動力軌條線
250:第一多橋通道(MBC)電晶體
D1:第一深度
D2:第二深度
D3:第三深度
X,Y,Z:方向

Claims (1)

  1. 一種半導體結構,包括: 一第一磊晶部件與一第二磊晶部件; 多個通道構件,延伸於該第一磊晶部件與該第二磊晶部件之間; 一閘極結構,環繞(wrap around)該些通道構件中的每個通道構件; 一底部介電部件,設置於該閘極結構上方; 一第一襯層,於該第一磊晶部件與一部分的該底部介電部件的上方; 一介電層,設置於該第一襯層上方; 一矽化物部件,於該第二磊晶部件上並直接接觸該第二磊晶部件; 一背側接觸件,於該矽化物部件上方並直接接觸該矽化物部件;以及 一導電襯層,設置於該介電層與該背側接觸件上方。
TW110121305A 2020-06-15 2021-06-11 半導體結構 TW202201697A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/901,963 US11222892B2 (en) 2020-06-15 2020-06-15 Backside power rail and methods of forming the same
US16/901,963 2020-06-15

Publications (1)

Publication Number Publication Date
TW202201697A true TW202201697A (zh) 2022-01-01

Family

ID=78095243

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121305A TW202201697A (zh) 2020-06-15 2021-06-11 半導體結構

Country Status (3)

Country Link
US (3) US11222892B2 (zh)
CN (1) CN113540081A (zh)
TW (1) TW202201697A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102548835B1 (ko) 2016-08-26 2023-06-30 인텔 코포레이션 집적 회로 디바이스 구조체들 및 양면 제조 기술들
US11688780B2 (en) * 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization
US11195746B2 (en) * 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11552084B2 (en) * 2020-03-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Shared bit lines for memory cells
US20210408246A1 (en) * 2020-06-25 2021-12-30 Intel Corporation Contact resistance reduction in transistor devices with metallization on both sides
US11658226B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside gate contact
US20230197802A1 (en) * 2021-12-16 2023-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Connection between gate and source/drain feature
EP4343826A3 (en) * 2022-09-21 2024-05-01 Samsung Electronics Co., Ltd. Integrated circuit devices including a back side power distribution network structure and methods of forming the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
WO2016163045A1 (ja) * 2015-04-06 2016-10-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する柱状半導体装置と、その製造方法
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10020261B2 (en) 2016-10-14 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10546925B2 (en) * 2017-11-02 2020-01-28 International Business Machines Corporation Vertically stacked nFET and pFET with dual work function
US10553678B2 (en) * 2017-11-02 2020-02-04 International Business Machines Corporation Vertically stacked dual channel nanosheet devices
US10937789B2 (en) * 2018-06-07 2021-03-02 International Business Machines Corporation Nanosheet eDRAM
US11437283B2 (en) * 2019-03-15 2022-09-06 Intel Corporation Backside contacts for semiconductor devices

Also Published As

Publication number Publication date
US20230387115A1 (en) 2023-11-30
US20220130823A1 (en) 2022-04-28
US11804486B2 (en) 2023-10-31
US11222892B2 (en) 2022-01-11
CN113540081A (zh) 2021-10-22
US20210391325A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
TWI693689B (zh) 半導體裝置及其形成方法
TW202201697A (zh) 半導體結構
TWI808130B (zh) 半導體裝置及其製造方法
TWI704620B (zh) 積體電路的製造方法
TW201913757A (zh) 半導體結構與其製作方法
TW201946274A (zh) 半導體裝置的製造方法
TWI737296B (zh) 半導體裝置及其製造方法
TWI803995B (zh) 一種半導體裝置及其形成方法
TW202141803A (zh) 半導體裝置及其製造方法
TW202213789A (zh) 半導體結構之製造方法
TW202205389A (zh) 半導體裝置及其形成方法
TW202201793A (zh) 半導體元件結構
TWI817312B (zh) 半導體結構及其形成方法
TW202147452A (zh) 半導體裝置及其形成方法
TW202133323A (zh) 半導體結構
CN113380706A (zh) 具有前侧和后侧的半导体结构及其形成方法
TWI792465B (zh) 半導體裝置、其形成方法及半導體結構
TWI824373B (zh) 半導體裝置及其形成方法
TWI768893B (zh) 半導體裝置及其形成方法
TW202205393A (zh) 半導體裝置的製造方法
TW202218047A (zh) 電晶體裝置與其製造方法
US20220352380A1 (en) Semiconductor devices with embedded ferroelectric field effect transistors
TW202403852A (zh) 半導體結構及其製造方法
TW202403888A (zh) 多閘極半導體裝置及其形成方法
TW202310057A (zh) 內連線結構