TWI824373B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI824373B
TWI824373B TW111100483A TW111100483A TWI824373B TW I824373 B TWI824373 B TW I824373B TW 111100483 A TW111100483 A TW 111100483A TW 111100483 A TW111100483 A TW 111100483A TW I824373 B TWI824373 B TW I824373B
Authority
TW
Taiwan
Prior art keywords
gate
gate structure
component
forming
semiconductor device
Prior art date
Application number
TW111100483A
Other languages
English (en)
Other versions
TW202234589A (zh
Inventor
諶俊元
王培宇
蘇煥傑
邱奕勛
莊正吉
蔡慶威
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234589A publication Critical patent/TW202234589A/zh
Application granted granted Critical
Publication of TWI824373B publication Critical patent/TWI824373B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

方法包含提供工件,工件包含基底、基底的第一部分上方的第一複數個通道元件、基底的第二部分上方的第二複數個通道元件、基底的第一部分與第二部分之間的隔離部件,基底在工件的背側,且第一和第二複數個通道元件在工件的前側;形成接合閘極結構,以環繞第一和第二複數個通道元件;在隔離部件中形成先導開口,先導開口從工件的背側暴露接合閘極結構;將先導開口延伸通過接合閘極結構,以形成閘極切割開口,閘極切割開口將接合閘極結構分隔為第一閘極結構和第二閘極結構;以及在閘極切割開口中沉積介電材料,以形成閘極切割部件。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程提供增加生產效率與降低相關費用的益處。此元件尺寸微縮化也增加了加工和製造積體電路的複雜性。
隨著積體電路(IC)技術朝向更小的技術節點進步,越來越難以確保令人滿意的遮罩覆蓋(mask overlay)。舉例來說,一些閘極切割部件包含使用一系列微影和蝕刻製程依序形成的頂部和底部。當遮罩對準不理想,頂部可能不落在底部上。因此,雖然閘極切割部件及其形成製程一般對於其預期目的為足夠的,但是這些閘極切割部件及其形成製程並非在所有方面都完全令人滿意。
在一些實施例中,提供半導體裝置的形成方法,此方法包含提供包含前側和背側的工件,工件包含基底、在基底的第一部分上方的第一複數個通道元件、在基底的第二部分上方的第二複數個通道元件、在基底的第一部分與第二部分之間的隔離部件,其中基底在工件的背側,且第一複數個通道元件和第二複數個通道元件在工件的前側;形成接合閘極結構,以環繞第一複數個通道元件和第二複數個通道元件的每一者;在隔離部件中形成先導開口,其中先導開口從工件的背側暴露接合閘極結構;將先導開口延伸通過接合閘極結構,以形成閘極切割開口,閘極切割開口將接合閘極結構分隔為第一閘極結構和第二閘極結構;以及在閘極切割開口中沉積介電材料,以形成閘極切割部件。
在一些其他實施例中,提供半導體裝置的形成方法,此方法包含在從基底突出的基部上方形成複數個通道元件,複數個通道元件垂直堆疊;在基部的側壁上方沉積隔離部件;在基部上方並鄰接複數個通道元件的橫向末端處形成源極/汲極部件;在隔離部件上方形成閘極結構,閘極結構環繞複數個通道元件的每一者;蝕刻基部,進而形成從半導體裝置的背側暴露源極/汲極部件和閘極結構的第一溝槽;在第一溝槽中沉積第一介電層;蝕刻隔離部件,進而形成第二溝槽,第二溝槽從半導體裝置的背側暴露第一介電層和閘極結構;在第一介電層的側壁上方沉積第二介電層,進而縮小第二溝槽的開口尺寸;以及蝕刻閘極結構,進而將第二溝槽延伸通過閘極結構。
在另外一些實施例中,提供半導體裝置,半導體裝置包含第一閘極結構,設置於第一背側介電部件上方;第二閘極結構,設置於第二背側介電部件上方;以及閘極切割部件,從第一閘極結構與第二閘極結構之間連續延伸 至第一背側介電部件與第二背側介電部件之間,其中閘極切割部件包含位於第一閘極結構與第二閘極結構之間的空氣間隙。
100:方法
102,104,106,108,110,112,114,116:方塊
200:工件
202:基底
202-1:第一基部
202-2:第二基部
204:隔離部件
208:通道元件
210:頂部層間介電層
212:蝕刻停止層
216:閘極間隙壁
220:承載基底
228:內部間隙壁部件
230:源極/汲極部件
230S:源極部件
230D:汲極部件
232:接觸蝕刻停止層
234:層間介電層
250:接合閘極結構
250-1:第一閘極區段
250-2:第二閘極區段
252:界面層
254:閘極介電層
255:閘極電極層
256:閘極自對準接觸介電層
257:閘極蓋層
260S:前側源極接點
260D:前側汲極接點
268:溝槽
270:背側介電層
280:圖案化硬遮罩
281:遮罩開口
282:先導開口
284:襯墊
286:閘極切割開口
288:閘極切割部件
290:空氣間隙
298:介電島
300:腿部
302:插槽源極/汲極接點
304:金屬島
2500:第一接合閘極結構
2502:第二接合閘極結構
2820:錐形先導開口
2820’:第一插槽先導開口
2822:第二插槽先導開口
2830:超越部分
2860:第一插槽閘極切割開口
2862:第二插槽閘極切割開口
2880:錐形閘極切割部件
2881:第一插槽閘極切割部件
2882:第二插槽閘極切割部件
D:過蝕刻深度
H1:第一高度
H2:第二高度
H3:第三高度
W1:第一寬度
W2:第二寬度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。
第1圖顯示依據本發明實施例一個或多個方面,形成具有從半導體裝置的背側形成之閘極切割部件的半導體裝置的方法的流程圖。
第2A、3A、4A、5A、6A、7A、8A、9A和10A圖顯示依據本發明實施例一個或多個方面,依據第1圖的方法,在製造過程期間工件的局部透視圖。
第2B、2C、2D、2E、3B、3C、3D、3E、4B、4C、4D、4E、5B、5C、5D、5E、6B、6C、6D、6E、7B、7C、7D、7E、8B、8C、8D、8E、9B、9C、9D、9E、10B、10C、10D和10E圖顯示依據本發明實施例一個或多個方面,依據第1圖的方法,在製造過程期間工件對應透視圖的局部剖面示意圖。
第11、12、13、14、15、16、17、18、19、20、21、22和23圖顯示依據本發明實施例一個或多個方面,使用第1圖的方法製造之替代的半導體結構或中間結構。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實 施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
再者,當用“大約”、“近似”及類似術語描述數字或數字範圍時,此術語目的在涵蓋在考慮到本發明所屬技術領域中具通常知識者可理解之製造期間固有出現的變化時的合理範圍內的數字。舉例來說,基於與製造具有與數字相關聯的特徵的部件有關的已知製造公差,數字或數字範圍包含所描述數字的合理的範圍,例如在所描述數字的+/-10%之內。舉例來說,具有厚度“約5nm”的材料層涵蓋了尺寸範圍從4.25nm至5.75nm,其中與本發明所屬技術領域中具通常知識者已知的與沉積材料層相關的製造公差為+/-15%。
在半導體製造中,切割金屬閘極(cut metal gate,CMG)製程是指用於形成介電部件的製程,以將跨越一個以上的主動區的連續閘極結構分成兩 段或更多段的製程。此介電部件可被稱為閘極切割部件或切割部件。在一些現有的切割金屬閘極製程中,閘極切割部件形成於介電鰭(或混合鰭)上。透過頂部的閘極切割部件和底部的介電鰭,閘極切割部件和介電鰭協同用以將閘極結構分隔為兩個區段。在一些範例製程中,使用光微影和蝕刻製程從基底(例如晶圓)的前側形成閘極切割部件。隨著半導體裝置持續微縮化,由於覆蓋及臨界尺寸均勻度(critical dimension uniformity,CDU)的限制,因此在介電鰭上直接形成閘極切割部件越來越困難。在一些範例中,沒有介電鰭的柵極切割部件可能會切入閘極結構或通道區,進而導致缺陷。
不同於現有技術,本發明實施例提供的切割金屬閘極製程從基底的背側形成切割部件。此外,依據本發明實施例的切割部件從基底的背側延伸通過閘極結構。也就是說,本發明實施例的切割部件單獨地將閘極結構分成區段,而無須介電鰭或混合鰭的幫助。在一些範例中,本發明實施例的切割部件可甚至水平延伸通過多於一個的閘極結構,或垂直延伸通過閘極結構上方的一個或多個介電部件或介電層。本發明實施例的製程不僅從背側形成,也自對準,以避免與遮罩不對準相關聯的缺陷。本發明實施例可在保持或增加製程裕度的同時,繼續將單元高度微縮化。
以下將參考圖式更詳細地描述本發明實施例的各方面。在此方面,第1圖顯示依據本發明實施例,形成具有半導體裝置的方法100的流程圖。方法100僅為範例,且不意圖將本發明實施例限制在方法100所明確顯示的內容中。可在方法100之前、期間及之後提供額外的步驟,且對於方法的其他實施例,可取代、消除或移動所描述的一些步驟。為了簡單起見,本文並未詳細描述所有步驟。以下結合第2A-10A、2B-10B、2C-10C、2D-10D、2E-10E和11-23圖描 述方法100,第2A-10A、2B-10B、2C-10C、2D-10D、2E-10E和11-23圖顯示依據方法100的實施例,在製造的不同階段之工件200的局部透視圖和局部剖面示意圖。在第2A-10A、2B-10B、2C-10C、2D-10D和2E-10E圖中,末尾標註為A的圖式為工件200的透視圖;末尾標註為B的圖式為對應透視圖中沿剖面B-B’的局部剖面示意圖;末尾標註為C的圖式為對應透視圖中沿剖面C-C’的局部剖面示意圖;末尾標註為D的圖式為對應透視圖中沿剖面D-D’的局部剖面示意圖;末尾標註為E的圖式為對應透視圖中沿剖面E-E’的局部剖面示意圖。由於工件200在製造過程結束之後將形成為半導體裝置,因此工件200因應上下文需求可被稱為半導體裝置(或裝置)。此外,在本發明實施例中,除非另有說明,否則相似的參考符號標註相似的部件。
可實施本發明實施例,以推動可包含多閘極裝置的半導體裝置。多閘極裝置一般代表具有閘極結構或閘極結構的一部分設置於通道區多於一面上方的裝置。鰭式場效電晶體(fin-like field effect transistors,FinFETs)和多橋接通道(multi-bridge-channel,MBC)電晶體為多閘極裝置的範例,多閘極裝置已成為高效能和低漏電應用的流行及有希望的候選裝置。鰭式場效電晶體具有透過閘極環繞多於一面(例如閘極環繞從基底延伸的半導體材料的“鰭”的頂部和側壁)之抬升的通道。多橋接通道電晶體具有可延伸以部分或完全環繞通道區的閘極結構,以在兩面或多於兩面上提供到通道區的路徑。由於多橋接通道電晶體的閘極結構圍繞通道區,因此多橋接通道電晶體也可被稱為環繞式閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。多橋接通道電晶體的通道區可從奈米線、奈米片、其他奈米結構及/或其他合適結構形成。通道區的形狀也給了多橋接通道電晶體其他替代稱謂,例如奈米片 電晶體或奈米線電晶體。使用多橋接通道電晶體描述本發明實施例僅為顯示目的,但是本發明實施例的範圍不限於此。
請參照第1和2A-2E圖,方法100包含方塊102,其中接收工件200。第2A-2E圖顯示工件200的前側朝上。也就是說,沒有對第2A-2E圖顯示的工件200進行背側製程。工件200包含基底202。在一實施例中,基底202包含矽(Si)。在其他實施例中,基底202也可包含其他半導體材料,例如鍺(Ge)、碳化矽(SiC)、矽鍺(SiGe)或鑽石。工件200包含第一基部202-1和第二基部202-2,第一基部202-1和第二基部202-2皆從基底202圖案化,且可共用與基底202相同的組成。雖然第2A-2E圖顯示基底202,但是為了簡單起見,至少一些其他圖式可省略基底202。請參照第2E圖,第一基部202-1和第二基部202-2透過隔離部件204彼此間隔開。在一些實施例中,隔離部件204沉積於第一基部202-1與第二基部202-2之間的溝槽中,並圍繞第一基部202-1和第二基部202-2。隔離部件204也可被稱為淺溝槽隔離(shallow trench isolation,STI)部件。隔離部件204可包含氧化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合及/或其他合適的材料。
請參照第2E圖,工件200包含在第一基部202-1上方複數個垂直堆疊的通道元件208和第二基部202-2上方另一複數個垂直堆疊的通道元件208。在所示的實施例中,兩個垂直堆疊的通道元件208設置於第一基部202-1和第二基部202-2的每一者上方,此數量為顯示目的,且不意圖在限制超出請求項中具體陳述的內容。通道元件208可由相似於基底202的材料的半導體材料形成。在一實施例中,通道元件208可包含矽(Si)。接合閘極(joint gate)結構250環繞第一基部202-1和第二基部202-2上方的通道元件208,接合閘極結構250沿Y方向延伸。每 個接合閘極結構250可包含在通道元件208上方並環繞通道元件208的界面層252、在界面層252上方並環繞界面層252的閘極介電層254以及在閘極介電層254上方並環繞閘極介電層254的閘極電極層255。在一些實施例中,界面層252包含氧化矽。閘極介電層254也可被稱為高介電常數介電層,因為閘極介電層254由具有大於二氧化矽的介電常數(約3.9)的介電材料形成。閘極介電層254可包含氧化鉿。替代地,閘極介電層254可包含其他高介電常數介電質,例如氧化鈦(TiO2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta2O5)、氧化鉿矽(HfSiO4)、氧化鋯(ZrO2)、氧化鋯矽(ZrSiO2)、氧化鑭(La2O3)、氧化鋁(Al2O3)、氧化鋯(ZrO)、氧化釔(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、前述之組合或其他合適的材料。
閘極電極層255可包含單一層或多層結構,例如有著選擇的功函數以增強裝置效能的金屬層(功函數金屬層)、襯墊層、潤濕層、第一黏著層、金屬合金或金屬矽化物的各種組合。舉例來說,閘極電極層255可包含氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、氮碳化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅(Cu)、其他耐火金屬或其他合適的金屬材料或前述之組合。
請參照第2A-2C圖,工件200包含閘極間隙壁216,閘極間隙壁216沿在最頂部通道元件208之上或隔離部件204之上的接合閘極結構250的側壁設置。閘極間隙壁216可為單一層或多層。在一些實施例中,閘極間隙壁216可包含氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽、碳氧化矽、氮碳氧化矽及/ 或前述之組合。在兩相鄰通道元件208之間,內部間隙壁部件228作為閘極結構的側壁的襯墊。內部間隙壁部件228可包含氧化矽、氮化矽、碳氧化矽、氮碳氧化矽、氮碳化矽、金屬氮化物或合適的介電材料。關於第一基部202-1和第二基部202-2的每一者,通道元件208的垂直堆疊物的每一者延伸於源極部件230S與汲極部件230D之間(被統稱為源極/汲極部件230)。通道元件208的每一者的一末端表面耦接至源極部件230S,而通道元件208的每一者的另一末端表面耦接至汲極部件230D。取決於將形成的多橋接通道電晶體的導電型,源極部件230S和汲極部件230D可為n型或p型。當源極部件230S和汲極部件230D為n型,源極部件230S和汲極部件230D可包含矽(Si)、磷摻雜矽(Si:P)、砷摻雜矽(Si:As)、銻摻雜矽(Si:Sb)或其他合適的材料,且可在磊晶製程期間透過引入n型摻雜物來原位摻雜,n型摻雜物例如磷(P)、砷(As)或銻(Sb)。當源極部件230S和汲極部件230D為p型,源極部件230S和汲極部件230D可包含鍺(Ge)、鎵摻雜矽鍺(SiGe:Ga)、硼摻雜矽鍺(SiGe:B)或其他合適的材料,且可在磊晶製程期間透過引入p型摻雜物來原位摻雜,p型摻雜物例如硼(B)或鎵(Ga)。應注意的是,在顯示的實施例中,源極部件230S和汲極部件230D的每一者直接設置於第一基部202-1和第二基部202-2上而沒有其他犧牲部件形成於其間,例如沒有在一些替代實施例中保留用於形成背側源極/汲極接點的空間的犧牲源極/汲極插塞。如以下進一步描述細節,當無需形成背側導電部件時,可擴大背側蝕刻製程期間的製程裕度。
請參照第2A、2C和2D圖。工件200也包含設置於源極部件230S和汲極部件230D上方的接觸蝕刻停止層(contact etch stop layer,CESL)232以及設置於接觸蝕刻停止層232上方的層間介電(interlayer dielectric,ILD)層234。接觸蝕刻停止層232可包含氮化矽、氮氧化矽及/或本發明所屬技術領域已知的其他材 料。層間介電層234可包含材料例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽酸鹽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。
在第2A、2B、2C和2E圖顯示的一些實施例中,工件200包含閘極自對準接觸(self-aligned contact,SAC)介電層256。在一些範例中,閘極自對準接觸介電層256可設置於接合閘極結構250和閘極間隙壁216上方。閘極自對準接觸介電層256可為單一層或多層,且可包含氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽、碳氧化矽、氮碳氧化矽及/或前述之組合。在一些範例中,工件200更包含設置於接合閘極結構250與閘極自對準接觸介電層256之間的閘極蓋層257。在一實施例中,閘極蓋層257包含一個或多個導電材料,例如鎢。閘極蓋層257防止閘極自對準接觸介電層256中的介電材料接觸閘極電極層255中的功函數金屬。在顯示的實施例中,閘極蓋層257不設置於閘極間隙壁216上方,但是閘極間隙壁216圍繞閘極蓋層257。可透過將接合閘極結構250凹陷,在凹陷的接合閘極結構250上方沉積一個或多個導電材料,並對一個或多個導電材料進行化學機械研磨製程來形成閘極蓋層257。工件200也可包含在源極部件230S上方的前側源極接點260S以及汲極部件230D上方的前側汲極接點260D。前側源極接點260S或前側汲極接點260D可包含氮化鈦(TiN)、鉭(Ta)、鈦(Ti)、氮化鉭(TaN)、釕(Ru)、鎢(W)、鈷(Co)、鋁(Al)、鉬(Mo)、矽化鈦(TiSi)、矽化鎢(WSi)、矽化鉑(PtSi)、矽化鈷(CoSi)、矽化鎳(NiSi)或前述之組合。
請參照第1和3A-3E圖,方法100包含方塊104,其中將工件200上 下翻轉。為了將工件200上下翻轉,將承載基底220接合至工件200遠離基底202的前側。在一些實施例中,承載基底220可透過熔融接合、透過使用黏著層或前述之組合接合至工件200。在一些範例中,承載基底220可由半導體材料(例如矽)、藍寶石、玻璃、聚合物材料或其他合適材料形成。在使用熔融接合的實施例中,承載基底220包含底部氧化層,且工件200包含頂部氧化層。在底部氧化層和頂部氧化層都經過處理後,將承載基底220和工件200放置為彼此直接接觸,以便在室溫或高溫下直接接合。當承載基底220接合至工件200之後,將工件200上下翻轉,如第3A-3E圖所示。為了簡潔起見,第3B-3E圖省略了已顯示於第3A圖的一些部件,例如承載基底220。為了簡潔起見,至少一些其他圖式也可省略承載基底220。在將工件200上下翻轉之後,使用化學機械研磨(chemical mechanical polishing,CMP)將工件200的背側平坦化,直到暴露在工件200的背側上(現在面朝上)的隔離部件204、第一基部202-1和第二基部202-2。
請參照第1和4A-4E圖,方法100包含方塊106,其中選擇性蝕刻第一基部202-1和第二基部202-2,以形成暴露接合閘極結構250的背側(例如界面層252)的溝槽268。溝槽268也暴露源極/汲極部件230的表面。在一些實施例中,方塊106的操作應用調整為對第一基部202-1/第二基部202-2的半導體材料(例如矽)有選擇性的蝕刻製程,而不蝕刻(或最小化蝕刻)接合閘極結構250(例如界面層252)、隔離部件204、接觸蝕刻停止層232和內部間隙壁部件228。在顯示的實施例中,蝕刻製程也蝕刻源極/汲極部件230,以將源極/汲極部件230凹陷至與通道元件208的最底表面齊平的水平高度。然而,通道元件208仍未暴露於溝槽268中。再者,在一些實施例中,凹陷的源極/汲極部件230仍低於隔離部件204與接觸蝕刻停止層232之間的界面(如第4D圖所示),且也低於最底部內部間隙壁部件 228(如第4C圖所示)。在一些替代實施例中,凹陷的源極/汲極部件230可保持在通道元件208的最底部表面之上(如第13圖所示)。在其他替代實施例中,凹陷的源極/汲極部件230可保持在最底部內部間隙壁部件228之上及/或接合閘極結構250的最底表面之上(如第14圖所示)。在一些其他實施例中,由於摻雜物的差異(例如n型摻雜物和p型摻雜物),因此n型和p型源極/汲極部件230可具有蝕刻選擇性差異,導致n型和p型源極/汲極部件230凹陷表面的不平坦。方塊106的操作可應用多於一個的蝕刻製程。舉例來說,方塊106的操作可應用第一蝕刻製程,以選擇性移除第一基部202-1/第二基部202-2,接著應用第二蝕刻製程,以將源極/汲極部件230選擇性凹陷至所期望的水平高度,其中第一蝕刻製程和第二蝕刻製程使用不同的蝕刻參數,例如使用不同的蝕刻劑。蝕刻製程可為乾蝕刻、濕蝕刻、反應性離子蝕刻或其他蝕刻方法。
請參照第1和5A-5E圖,方法100包含方塊108,其中沉積具有一個或多個介電材料的背側介電層270,以填充溝槽268,並覆蓋接合閘極結構250和源極/汲極部件230的暴露底表面。在一些實施例中,背側介電層270可包含La2O3、Al2O3、SiOCN、SiOC、SiCN、SiO2、SiC、ZnO、ZrN、Zr2Al3O9、TiO2、TaO2、ZrO2、HfO2、Si3N4、Y2O3、AlON、TaCN、ZrSi的一個或多個或其他合適材料,且可透過電漿輔助化學氣相沉積、可流動化學氣相沉積或其他合適的方法形成。再者,在本實施例中,背側介電層270和隔離部件204可包含不同的材料,使得隔離部件204可在化學機械研磨製程將背側介電層270平坦化時作為化學機械研磨停止,以暴露隔離部件204。
請參照第1、6A-6E和7A-7E圖,方法100包含方塊110,其中選擇性蝕刻隔離部件204,以形成暴露接合閘極結構250的先導開口282。方塊110的 操作包含形成圖案化硬遮罩280(顯示於第6A-6E圖中)以及形成先導開口282(顯示於第7A-7E圖中)。在一範例製程中,使用化學氣相沉積在工件200上方毯覆式沉積硬遮罩層。硬遮罩層可為單一層或多層。當硬遮罩層為多層時,硬遮罩層可包含氧化矽層和氮化矽層。在沉積硬遮罩層之後,可進行光微影和蝕刻製程,以將硬遮罩層圖案化,以形成圖案化硬遮罩。在一些範例中,光阻層沉積於硬遮罩層上方。為了將光阻層圖案化,光阻層暴露於從光罩反射或透過光罩傳遞的輻射,在曝光後烘烤製程中烘烤,並在顯影劑中顯影。接著,應用圖案化光阻層作為蝕刻遮罩,來蝕刻硬遮罩層,進而形成圖案化硬遮罩280。請參照第6A-6E圖,圖案化硬遮罩280包含遮罩開口281,遮罩開口281與將形成的先導開口282大致對齊。依據本發明實施例,圖案化硬遮罩280用以遮蔽隔離部件204不被蝕刻的部分。背側介電層270的一部分是否暴露於遮罩開口281中並不重要。如第6E圖的虛線所示,遮罩開口281可不與背側介電層270的一部分有共同邊界。這是因為形成先導開口282的蝕刻製程對隔離部件204有選擇性,而大致不蝕刻背側介電層270。相似地,如第6B圖的虛線所示,甚至當遮罩開口281大於接合閘極結構250的寬度或不對齊接合閘極結構250時,仍然可順利形成先導開口282。應注意的是,在顯示的實施例中,源極部件230S和汲極部件230D的每一者沒有坐落於其上的背側導電部件(例如背側源極/汲極接點及/或背側電源軌),因此無需擔心遮罩開口281可能暴露此類導電部件及在後續蝕刻製程期間造成蝕刻損壞。因此,可增強背側蝕刻保真度(backside etching fidelity)。
接著,請參照第7B和7E圖。隨著圖案化硬遮罩280就位,選擇性且非等向性蝕刻隔離部件204,以形成先導開口282。在一些實施例中,隔離部件204可透過使用乾蝕刻製程(例如反應性離子蝕刻(reactive-ion etching,RIE))來 蝕刻,乾蝕刻製程使用氯(Cl2)、氧(O2)、三氟化硼(BF3)、四氟化碳(CF4)或前述之組合。如第7B圖所示,先導開口282可終止於閘極介電層254、閘極間隙壁216和接觸蝕刻停止層232的朝上表面,而不延伸至接合閘極結構250的閘極電極層255中。如第7E圖所示,由於遮罩開口281不與背側介電層270對齊,因此先導開口282沿Y方向的寬度小於遮罩開口281沿Y方向的寬度。
請參照第1和8A-8E圖,方法100包含方塊112,其中沿先導開口282的側壁沉積襯墊284,且縮小了先導開口282的尺寸。襯墊284定義了將形成的閘極切割部件與通道元件208之間的距離。襯墊284也可被稱為切割金屬閘極末端蓋層(cut metal gate end cap layer)。襯墊284也用以保護背側介電層270免受方塊114的蝕刻製程。襯墊284可為單一層或多層。在一範例製程中,至少一介電材料沉積於工件200的背側上方,接著非等向性回蝕刻沉積的介電材料,以暴露閘極介電層254,如第8A、8B和8E圖所示。在一些範例中,用於襯墊284的至少一介電材料可包含矽、氧、氮或碳。舉例來說,至少一介電材料可包含氮化矽、氮碳化矽、氮碳氧化矽、碳氧化矽或氮氧化矽。在回蝕刻製程之後,襯墊284可具有厚度在約6nm與約10nm之間。
請參照第1和9A-9E圖,方法100包含方塊114,其中先導開口282延伸通過接合閘極結構250,以形成閘極切割開口286。在方塊114,進行非等向性蝕刻製程,以延伸先導開口282,以形成閘極切割開口286。在一些實施例中,閘極切割開口286終止於閘極自對準接觸介電層256上或閘極自對準接觸介電層256中。如第9A、9B和9E圖所示,由於非等向性蝕刻製程以較慢速率蝕刻襯墊284、閘極間隙壁216和閘極自對準接觸介電層256,因此這些結構限制了方塊114的蝕刻製程,並定義了閘極切割開口286的邊界。在一些實施例中,方塊114的 非等向性蝕刻製程可為乾蝕刻製程(例如反應性離子蝕刻(RIE)),乾蝕刻製程使用氯(Cl2)、氧(O2)、三氟化硼(BF3)、四氟化碳(CF4)或前述之組合。如第9A和9E圖所示,閘極切割開口286將接合閘極結構250分隔為第一閘極區段250-1和第二閘極區段250-2。方塊114的操作可應用多於一個蝕刻製程。舉例來說,可應用第一蝕刻製程,以閘極蓋層257作為蝕刻停止層來選擇性移除接合閘極結構250,接著應用第二蝕刻製程,以閘極自對準接觸介電層256作為蝕刻停止層來選擇性移除閘極蓋層257,其中第一蝕刻製程和第二蝕刻製程使用不同的蝕刻參數,例如使用不同的蝕刻劑。請參照第9B圖,在顯示的實施例中,方塊114的操作暴露在閘極切割開口286的下部中的閘極間隙壁216。替代地,可在選擇性蝕刻製程中進一步移除閘極間隙壁216,使得接觸蝕刻停止層232可暴露於閘極切割開口286中。在另一實施例中,可在選擇性蝕刻製程中進一步移除接觸蝕刻停止層232,使得層間介電層234暴露於閘極切割開口286中。移除閘極間隙壁216及/或接觸蝕刻停止層232的優點之一為閘極切割開口286的下部可沿X方向擴展,以允許較大的空氣間隙290(請參照第10B和10E圖)的體積將形成於閘極切割開口286中,此更進而改善閘極區段之間的隔離。
請參照第1和10A-10E圖,方法100包含方塊116,其中在閘極切割開口286中沉積介電材料,以形成閘極切割部件288。在一些實施例中,閘極切割部件288由低介電常數介電材料形成,以減少寄生電容。可使用電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、高密度電漿化學氣相沉積(high-density-plasma CVD,HDPCVD)或化學氣相沉積來沉積用於閘極切割部件288的介電材料。在一些實施例中,用於閘極切割部件288的介電材料可包含氮化矽、氮碳化矽、氮碳氧化矽、碳氧化矽或氮氧化矽。閘極切割部件 288可為單一層或多層。當閘極切割部件288為多層時,閘極切割部件288可包含接觸閘極區段的介電襯墊以及透過襯墊與閘極區段間隔開的介電填充物。介電襯墊和介電填充物可由不同材料形成。舉例來說,介電襯墊不含氧,而介電填充物包含氧。在另一範例中,介電襯墊可具有比介電填充物更大的介電常數。當閘極切割部件288為多層時,介電襯墊可具有厚度在約6nm與約10nm之間。方塊116的操作可包含對閘極切割部件288進行平坦化製程(例如化學機械研磨製程),以從工件200的背側移除多餘的介電材料,並暴露背側介電層270、隔離部件204和襯墊284。
再參照第10A-10E圖,在顯示的實施例中,閘極切割部件288的介電材料也蓋住閘極切割開口286中的空氣間隙(或空隙)290。閘極切割部件288的介電材料的沉積也可被稱為封蓋製程。在一實施例中,閘極切割部件288的介電材料透過電漿輔助化學氣相沉積製程來沉積,電漿輔助化學氣相沉積製程較容易使沉積的介電材料在狹窄開口的頂部上合併。調整電漿輔助化學氣相沉積製程的參數(例如壓力、溫度和氣體黏滯性),使得沉積介電材料的間隙填充性保持空氣間隙290,而不完全填充閘極切割開口286。在本實施例中,電漿輔助化學氣相沉積製程採用壓力小於約0.75torr及溫度高於75℃的設置。如此一來,閘極切割部件288的介電材料可封閉閘極切割開口286,而沒有大量沉積在閘極切割開口286的下部,並保持空氣間隙290。空氣間隙290可從通道區連續延伸至鄰接源極/汲極區,以提供相鄰的第一閘極區段250-1與第二閘極區段250-2之間的隔離以及相鄰的源極/汲極部件之間的隔離。在沉積閘極切割部件288的介電材料期間使用的氣體或可擴散至空氣間隙290中的其他氣體可在空氣間隙290中。
在第10B圖顯示的一實施例中,空氣間隙290在層間介電層234的 底表面(定義為靠近工件200的背側的表面)下方(也在接合閘極結構250的底表面下方)。在第11圖顯示的另一實施例中,空氣間隙290可延伸超出層間介電層234的底表面(也超出接合閘極結構250的底表面)。將空氣間隙290延伸超出層間介電層234和接合閘極結構250的底表面有助於改善相鄰閘極區段之間的隔離。在第12圖顯示的另一實施例中,取代細長連續的空氣間隙,方塊116的封蓋製程可形成沿Z方向在閘極切割開口286中垂直堆疊的一系列較小的空氣間隙290。在一些範例中,一系列較小的空氣間隙290可具有不同尺寸,例如最底部的獨立空氣間隙290具有比其他空氣間隙更小的高度。最底部的獨立空氣間隙290可延伸超出層間介電層234和接合閘極結構250的底表面,這也改善了隔離,並減少寄生電容。
在第10B圖中,閘極切割部件288包含沿X方向設置於閘極間隙壁216之間的下部以及設置於襯墊284之間的上部。沿X方向,下部包含第一寬度W1,而上部包含第二寬度W2。在一些範例中,第一寬度W1可在約6nm與約22nm之間,且第二寬度W2可在約4nm與約22nm之間。沿Z方向,下部包含第一高度H1,而上部包含第二高度H2。第一高度H1和第二高度H2的總和可在約10nm與約80nm之間。請參照第10C圖,在完成方塊116的操作之後,背側介電層270可包含第三高度H3在約5nm與約20nm之間。主要是由於不需要容納背側源極/漏極接點而形成這種矮輪廓的背側介電層270,允許厚度縮小約10nm至約20nm。請參照第10E圖,沿Y方向,閘極切割部件的下部設置於閘極區段的閘極電極部分之間,且閘極切割部件的上部設置於襯墊284之間。將第10A-10E圖的工件200上下翻轉。當將第10A-10E圖的工件200翻轉回正立位置時,背側介電層270將在底部,而閘極自對準接觸介電層256將在頂部。
雖然第7A、7B和7E圖顯示由方塊110的操作形成的先導開口282包含大致垂直的側壁,但是也考慮了具有錐形側壁的先導開口282(請參照第16圖)。當方塊110的蝕刻操作不夠非等向性和選擇性時,方塊110的操作也蝕刻背側介電層270,導致錐形先導開口2820。請參照第17圖,錐形先導開口2820對後續製程可具有漣漪效應(ripple effect)。如第17圖所示,沉積於錐形先導開口2820中的襯墊284和錐形閘極切割部件2880也承襲錐形輪廓。當沿X方向來看,背側介電層270也可包含楔形形狀。第17圖也顯示替代實施例,其中閘極切割開口或錐形閘極切割部件2880完全延伸通過閘極自對準接觸介電層256。如第17圖所示,錐形閘極切割部件2880可包含錐形尖端部分,錐形尖端部分穿透閘極自對準接觸介電層256進入蝕刻停止層(etch stop layer,ESL)212和頂部層間介電(ILD)層210。蝕刻停止層212和頂部層間介電層210可為前側互連結構的一部分。蝕刻停止層212的組成可相似於接觸蝕刻停止層232,且頂部層間介電層210的組成可相似於層間介電層234。如第17圖所示,錐形閘極切割部件2880可具有過蝕刻深度D在約3nm與約100nm之間。
本發明實施例的閘極切割部件可橫跨多於一個的接合閘極結構。請參照第18圖,橫跨第一接合閘極結構2500和第二接合閘極結構2502的第一插槽先導開口2820’可在方法100的方塊110形成。接著,請參照第19圖,在形成襯墊284之後,第一插槽先導開口2820’向下延伸通過第一接合閘極結構2500和第二接合閘極結構2502,以形成第一插槽閘極切割開口2860。第一插槽閘極切割開口2860不僅將第一接合閘極結構2500分隔為兩個閘極區段,也將第二接合閘極結構2502分隔為兩個閘極區段。在第19圖呈現的一些實施例中,用以形成第一插槽閘極切割開口2860的蝕刻製程蝕刻接合閘極結構的速率可大於蝕刻 接觸蝕刻停止層232和層間介電層234的速率。因此,可形成介電島298。第19圖也顯示第一插槽閘極切割開口2860可包含延伸通過閘極自對準接觸介電層256下方的蝕刻停止層212和頂部層間介電層210的超越部分(overshoot portion)2830。在這些替代實施例中,如第20圖所示,方塊116的操作可形成第一插槽閘極切割部件2881,第一插槽閘極切割部件2881一般順應第一插槽閘極切割開口2860的形狀。當從Y方向來看,第一插槽閘極切割部件2881包含腿部300,並橫跨介電島298。第一插槽閘極切割部件2881的組成可相似於上述的閘極切割部件288,第一插槽閘極切割部件2881可更包含空氣間隙290(未顯示)。將第20圖的工件200上下翻轉。當將第20圖的工件200翻轉回正立位置時,隔離部件204將在底部,而兩個腿部300將指向上方。
本發明實施例的閘極切割部件可橫跨插槽源極/汲極接點。請參照第21圖,橫跨第一接合閘極結構2500、第二接合閘極結構2502和插槽源極/汲極接點302的第二插槽先導開口2822可在方法100的方塊110形成。接著,請參照第22圖,在形成襯墊284之後,第二插槽先導開口2822下向延伸通過第一接合閘極結構2500和第二接合閘極結構2502,以形成第二插槽閘極切割開口2862。第二插槽閘極切割開口2862不僅對齊Y方向將第一接合閘極結構2500分隔為兩個閘極區段,也對齊Y方向將第二接合閘極結構2502分隔為兩個閘極區段。在第22圖呈現的一些實施例中,用以形成第二插槽閘極切割開口2862的蝕刻製程蝕刻接合閘極結構的速率可大於蝕刻插槽源極/汲極接點302的速率。因此,可形成金屬島304。第22圖也顯示第二插槽閘極切割開口2862可包含延伸通過閘極自對準接觸介電層256下方的蝕刻停止層212和頂部層間介電層210的超越部分2830。在這些替代實施例中,如第23圖所示,方塊116的操作可形成第二插槽閘極切割部 件2882,第二插槽閘極切割部件2882一般順應第二插槽閘極切割開口2862的形狀。當從Y方向來看,第二插槽閘極切割部件2882包含腿部300,並橫跨金屬島304。第二插槽閘極切割部件2882的組成可相似於上述的閘極切割部件288。將第23圖的工件200上下翻轉。當將第23圖的工件200翻轉回正立位置時,隔離部件204將在底部,而兩個腿部300將指向上方。
本發明實施例提供許多優點。舉例來說,本發明實施例的方法從工件的背側形成閘極切割部件。透過使用工件的背側上的結構,本發明實施例之閘極切割開口的形成為自對準,且不依賴光微影製程的的高解析度或高覆蓋精度(overlay precision)。
在一例示性方面,本發明實施例關於方法。此方法包含提供包含前側和背側的工件,工件包含基底、在基底的第一部分上方的第一複數個通道元件、在基底的第二部分上方的第二複數個通道元件、在基底的第一部分與第二部分之間的隔離部件,其中基底在工件的背側,且第一複數個通道元件和第二複數個通道元件在工件的前側。此方法也包含形成接合閘極結構,以環繞第一複數個通道元件和第二複數個通道元件的每一者;在隔離部件中形成先導開口,其中先導開口從工件的背側暴露接合閘極結構;將先導開口延伸通過接合閘極結構,以形成閘極切割開口,閘極切割開口將接合閘極結構分隔為第一閘極結構和第二閘極結構;以及在閘極切割開口中沉積介電材料,以形成閘極切割部件。在一些實施例中,沉積介電材料的步驟在第一閘極結構與第二閘極結構之間將空氣間隙密封。在一些實施例中,此方法更包含將基底的前側接合至承載基底;以及在形成先導開口之前,將工件上下翻轉。在一些實施例中,此方法更包含在形成先導開口之前,從工件的背側移除基底的第一部分和第二部 分,以形成溝槽,其中溝槽暴露鄰接第一複數個通道元件和第二複數個通道元件的源極/汲極部件;以及在源極/汲極部件上沉積背側介電層。在一些實施例中,移除基底的第一部分和第二部分的步驟包含將源極/汲極部件凹陷。在一些實施例中,此方法更包含在延伸先導開口之前,在先導開口的側壁上方沉積襯墊層。在一些實施例中,閘極切割開口暴露沉積於接合閘極結構的側壁上方的閘極間隙壁。在一些實施例中,此方法更包含在沉積介電材料之前,從閘極切割開口移除閘極間隙壁。在一些實施例中,此方法更包含在形成先導開口之前,在接合閘極結構上方形成自對準接觸(SAC)層,其中延伸先導開口的步驟也將先導開口延伸通過自對準接觸層。
在另一例示性方面,本發明實施例關於半導體裝置的形成方法。此方法包含在從基底突出的基部上方形成複數個通道元件,複數個通道元件垂直堆疊;在基部的側壁上方沉積隔離部件;在基部上方並鄰接複數個通道元件的橫向末端處形成源極/汲極部件;在隔離部件上方形成閘極結構,閘極結構環繞複數個通道元件的每一者;蝕刻基部,進而形成從半導體裝置的背側暴露源極/汲極部件和閘極結構的第一溝槽;在第一溝槽中沉積第一介電層;蝕刻隔離部件,進而形成第二溝槽,第二溝槽從半導體裝置的背側暴露第一介電層和閘極結構;在第一介電層的側壁上方沉積第二介電層,進而縮小第二溝槽的開口尺寸;以及蝕刻閘極結構,進而將第二溝槽延伸通過閘極結構。在一些實施例中,此方法更包含在延伸第二溝槽之後,在第二溝槽中沉積介電材料。在一些實施例中,沉積介電材料的步驟在第二溝槽中將空隙密封。在一些實施例中,空隙橫向堆疊於第二介電層的一部分之間。在一些實施例中,形成第一溝槽的步驟包含將源極/汲極部件凹陷。在一些實施例中,此方法更包含在延伸第二溝 槽之後,從閘極結構的側壁移除閘極間隙壁,進而擴展第二溝槽的延伸部分。
在另一例示性方面,本發明實施例關於半導體裝置。半導體裝置包含第一閘極結構,設置於第一背側介電部件上方;第二閘極結構,設置於第二背側介電部件上方;以及閘極切割部件,從第一閘極結構與第二閘極結構之間連續延伸至第一背側介電部件與第二背側介電部件之間,其中閘極切割部件包含位於第一閘極結構與第二閘極結構之間的空氣間隙。在一些實施例中,半導體裝置更包含襯墊,設置於閘極切割部件與第一背側介電部件之間以及閘極切割部件與第二背側介電部件之間。在一些實施例中,空氣間隙從第一閘極結構與第二閘極結構之間連續延伸至第一背側介電部件與第二背側介電部件之間。在一些實施例中,半導體裝置更包含閘極間隙壁,從第一閘極結構的側壁連續延伸至第二閘極結構的側壁。在一些實施例中,閘極切割部件物理接觸閘極間隙壁。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:方法
102,104,106,108,110,112,114,116:方塊

Claims (15)

  1. 一種半導體裝置的形成方法,包括:提供包含一前側和一背側的一工件,該工件包含一基底、在該基底的一第一部分上方的一第一複數個通道元件、在該基底的一第二部分上方的一第二複數個通道元件、在該基底的該第一部分與該第二部分之間的一隔離部件,其中該基底在該工件的該背側,且該第一複數個通道元件和該第二複數個通道元件在該工件的該前側;形成一接合閘極結構,以環繞該第一複數個通道元件和該第二複數個通道元件的每一者;在該隔離部件中形成一先導開口,其中該先導開口從該工件的該背側暴露該接合閘極結構;將該先導開口延伸通過該接合閘極結構,以形成一閘極切割開口,該閘極切割開口將該接合閘極結構分隔為一第一閘極結構和一第二閘極結構;以及在該閘極切割開口中沉積一介電材料,以形成一閘極切割部件。
  2. 如請求項1之半導體裝置的形成方法,其中沉積該介電材料的步驟在該第一閘極結構與該第二閘極結構之間將一空氣間隙密封。
  3. 如請求項1或2之半導體裝置的形成方法,更包括:在形成該先導開口之前,從該工件的該背側移除該基底的該第一部分和該第二部分,以形成一溝槽,其中該溝槽暴露鄰接該第一複數個通道元件和該第二複數個通道元件的一源極/汲極部件;以及在該源極/汲極部件上沉積一背側介電層。
  4. 如請求項3之半導體裝置的形成方法,其中移除該基底的該第一 部分和該第二部分的步驟包含將該源極/汲極部件凹陷。
  5. 如請求項1或2之半導體裝置的形成方法,更包括:在延伸該先導開口之前,在該先導開口的側壁上方沉積一襯墊層。
  6. 如請求項1或2之半導體裝置的形成方法,其中該閘極切割開口暴露沉積於該接合閘極結構的側壁上方的一閘極間隙壁。
  7. 如請求項6之半導體裝置的形成方法,更包括:在沉積該介電材料之前,從該閘極切割開口移除該閘極間隙壁。
  8. 如請求項1或2之半導體裝置的形成方法,更包括:在形成該先導開口之前,在該接合閘極結構上方形成一自對準接觸層,其中延伸該先導開口的步驟也將該先導開口延伸通過該自對準接觸層。
  9. 一種半導體裝置的形成方法,包括:在從一基底突出的一基部上方形成複數個通道元件,該複數個通道元件垂直堆疊;在該基部的側壁上方沉積一隔離部件;在該基部上方並鄰接該複數個通道元件的橫向末端處形成一源極/汲極部件;在該隔離部件上方形成一閘極結構,該閘極結構環繞該複數個通道元件的每一者;蝕刻該基部,進而形成從該半導體裝置的一背側暴露該源極/汲極部件和該閘極結構的一第一溝槽;在該第一溝槽中沉積一第一介電層;蝕刻該隔離部件,進而形成一第二溝槽,該第二溝槽從該半導體裝置的該背側暴露該第一介電層和該閘極結構; 在該第一介電層的側壁上方沉積一第二介電層,進而縮小該第二溝槽的一開口尺寸;以及蝕刻該閘極結構,進而將該第二溝槽延伸通過該閘極結構。
  10. 如請求項9之半導體裝置的形成方法,更包括:在延伸該第二溝槽之後,在該第二溝槽中沉積一介電材料。
  11. 如請求項9或10之半導體裝置的形成方法,更包括:在延伸該第二溝槽之後,從該閘極結構的側壁移除一閘極間隙壁,進而擴展該第二溝槽的一延伸部分。
  12. 一種半導體裝置,包括:一第一閘極結構,設置於一第一背側介電部件上方;一第二閘極結構,設置於一第二背側介電部件上方;一隔離部件,相鄰於該第一背側介電部件及該第二背側介電部件;以及一閘極切割部件,從該第一閘極結構與該第二閘極結構之間連續延伸至該第一背側介電部件與該第二背側介電部件之間,其中該閘極切割部件包含位於該第一閘極結構與該第二閘極結構之間的一空氣間隙,且該閘極切割部件延伸通過該隔離部件。
  13. 如請求項12之半導體裝置,更包括:一襯墊,設置於該閘極切割部件與該第一背側介電部件之間以及該閘極切割部件與該第二背側介電部件之間。
  14. 如請求項12或13之半導體裝置,其中該空氣間隙從該第一閘極結構與該第二閘極結構之間連續延伸至該第一背側介電部件與該第二背側介電部件之間。
  15. 如請求項12或13之半導體裝置,更包括:一閘極間隙壁,從該第一閘極結構的側壁連續延伸至該第二閘極結構的側壁。
TW111100483A 2021-01-27 2022-01-06 半導體裝置及其形成方法 TWI824373B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163142304P 2021-01-27 2021-01-27
US63/142,304 2021-01-27
US17/464,357 2021-09-01
US17/464,357 US20220238370A1 (en) 2021-01-27 2021-09-01 Semiconductor Device with Gate Cut Structure and Method of Forming the Same

Publications (2)

Publication Number Publication Date
TW202234589A TW202234589A (zh) 2022-09-01
TWI824373B true TWI824373B (zh) 2023-12-01

Family

ID=81769720

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100483A TWI824373B (zh) 2021-01-27 2022-01-06 半導體裝置及其形成方法

Country Status (3)

Country Link
US (1) US20220238370A1 (zh)
CN (1) CN114582804A (zh)
TW (1) TWI824373B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240079316A1 (en) * 2022-09-06 2024-03-07 International Business Machines Corporation Local enlarged via-to-backside power rail

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201933608A (zh) * 2017-11-15 2019-08-16 台灣積體電路製造股份有限公司 半導體裝置與其製作方法
US20200083220A1 (en) * 2018-09-06 2020-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20200152504A1 (en) * 2018-11-09 2020-05-14 Globalfoundries Inc. Airgap spacers formed in conjunction with a late gate cut
US20200168509A1 (en) * 2018-11-27 2020-05-28 Globalfoundries Inc. Late gate cut using selective dielectric deposition
TW202036910A (zh) * 2018-11-20 2020-10-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182611A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
US11532744B2 (en) * 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11621197B2 (en) * 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11876119B2 (en) * 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201933608A (zh) * 2017-11-15 2019-08-16 台灣積體電路製造股份有限公司 半導體裝置與其製作方法
US20200083220A1 (en) * 2018-09-06 2020-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20200152504A1 (en) * 2018-11-09 2020-05-14 Globalfoundries Inc. Airgap spacers formed in conjunction with a late gate cut
TW202036910A (zh) * 2018-11-20 2020-10-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20200168509A1 (en) * 2018-11-27 2020-05-28 Globalfoundries Inc. Late gate cut using selective dielectric deposition

Also Published As

Publication number Publication date
CN114582804A (zh) 2022-06-03
TW202234589A (zh) 2022-09-01
US20220238370A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
US11862708B2 (en) Contact plugs and methods forming same
TWI808130B (zh) 半導體裝置及其製造方法
US11804486B2 (en) Backside power rail and methods of forming the same
US11621197B2 (en) Semiconductor device with gate cut feature and method for forming the same
TWI802261B (zh) 半導體裝置及其形成方法
TWI803995B (zh) 一種半導體裝置及其形成方法
TWI785777B (zh) 半導體裝置及其形成方法
US20230299167A1 (en) Backside gate contact
US20230299154A1 (en) Method of forming contact structures
TW202221793A (zh) 半導體裝置及其形成方法
KR102481143B1 (ko) 소스/드레인 컨택 구조체
TWI824373B (zh) 半導體裝置及其形成方法
US20230395686A1 (en) Semiconductor device with gate isolation features and fabrication method of the same
TWI792465B (zh) 半導體裝置、其形成方法及半導體結構
TW202230463A (zh) 半導體裝置及其製造方法
US11302798B2 (en) Semiconductor devices with air gate spacer and air gate cap
US20230420566A1 (en) Semiconductor device with reverse-cut source/drain contact structure and method thereof
TW202305948A (zh) 半導體結構及其形成方法
TW202403898A (zh) 半導體裝置與其形成方法