TW202036910A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202036910A
TW202036910A TW108141341A TW108141341A TW202036910A TW 202036910 A TW202036910 A TW 202036910A TW 108141341 A TW108141341 A TW 108141341A TW 108141341 A TW108141341 A TW 108141341A TW 202036910 A TW202036910 A TW 202036910A
Authority
TW
Taiwan
Prior art keywords
source
gate
drain
drain contact
layer
Prior art date
Application number
TW108141341A
Other languages
English (en)
Inventor
游家權
張家豪
林天祿
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036910A publication Critical patent/TW202036910A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例提供了一種半導體裝置及其形成方法。在一個實施例中,一個根據本揭露的半導體裝置包含延伸自基板的鰭片、位於鰭片之通道區上的閘極結構、位於鰭片之源極/汲極區上的源極/汲極接觸件、與閘極結構相鄰之閘極切割部件、與源極/汲極接觸件相鄰之源極/汲極接觸隔離部件、沿著閘極切割部件延伸之側壁及閘極結構之側壁延伸的間隔物、沿著源極/汲極接觸隔離部件之側壁及源極/汲極接觸件之側壁延伸的襯層、及被間隔物及襯層夾住的氣隙。閘極切割部件及源極/汲極接觸隔離部件被間隔物、氣隙、及襯層分離。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體技術,特別是關於一種包含氣隙之半導體結構。
電子產業經歷了不斷增加的對於更小且更快的電子裝置的要求,在此同時也不斷地能夠支持更大量更複雜的功能。因此,半導體產業中有著不斷持續的趨勢以生產低價、高效能、且低功率的積體電路(integrated circuits,ICs)。到目前為止這些目標大部分已經藉由微縮化半導體積體電路之尺度(即最小部件尺寸)而被達成,且從而增進生產效率並降低相關成本。然而,此微縮化之過程也帶來了更複雜的半導體生產製程。因此,半導體積體電路及裝置之不斷的進展之實現將需要半導體生產製程及科技上也具有相似的進展。
最近,已引入多閘極(multi-gate)裝置以藉由增加閘極-通道耦合(gate-channel coupling)來增進閘極之控制、減少截止狀態電流(OFF-state current)、及減少短通道效應(short-channel effects,SCEs)。鰭式場效電晶體(fin field-effect transistor,FinFET)為一種被引入的多閘極裝置。鰭式場效電晶體因為它的鰭狀結構而得名。此鰭狀結構延伸自其所成長之基板,且被用於形成場效電晶體(field-effect transistor,FET)之通道。鰭式場效電晶體與傳統互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)製程兼容,且它們的三維結構允許它們積極地縮小並同時維持閘極之控制及減輕短通道效應。然而,儘管引入了鰭式場效電晶體,積極地微縮化積體電路的尺度造成了寄生電容(parasitic capacitance)增加(即介於鰭式場效電晶體閘極與源極/汲極區(或源極/汲極接觸件)之間的電容)。寄生電容增加的後果為裝置效能的下降。因此,現有技術尚未在所有方面證明其為令人完全滿意的。
本發明提供一種半導體裝置,包含:鰭片、閘極結構、源極/汲極接觸件、閘極切割部件、源極/汲極接觸隔離部件、間隔物、襯層、及氣隙。鰭片延伸自基板,包含通道區及與通道區相鄰之源極/汲極區;閘極結構位於通道區上;源極/汲極接觸件位於源極/汲極區上;閘極切割部件與閘極結構相鄰;源極/汲極接觸隔離部件與源極/汲極接觸件相鄰;間隔物沿著閘極切割部件之側壁及閘極結構之側壁延伸;襯層沿著源極/汲極接觸隔離部件之側壁及源極/汲極接觸件之側壁延伸;氣隙夾在間隔物及襯層之間。其中閘極切割部件及源極/汲極接觸隔離部件被間隔物、氣隙、及襯層分離。
本發明提供一種半導體裝置,包含:半導體部件、閘極結構、閘極接觸孔、源極/汲極接觸件、源極/汲極接觸孔、及氣隙。半導體部件具有通道區及與此通道區相鄰之源極/汲極區;閘極結構位於通道區上;閘極接觸孔位於閘極結構上,且與閘極結構電性耦合;源極/汲極接觸件位於源極/汲極區上;源極/汲極接觸孔位於源極/汲極接觸件上,且與源極/汲極接觸件電性耦合。其中閘極接觸孔及源極/汲極接觸孔被氣隙分離。
本發明提供一種半導體裝置的形成方法,包含:接收工件,其中包含:基板、鰭片、閘極結構、第一間隔物及第二間隔物、源極/汲極接觸件、及襯層;形成閘極接觸孔於閘極結構上,且與閘極結構電性耦合;形成源極/汲極接觸孔於源極/汲極區上;及在形成源極/汲極接觸孔之後,選擇性移除第二間隔物以形成氣隙,氣隙延伸於閘極接觸孔及源極/汲極接觸孔之間。在此工件中,鰭片自基板,此鰭片包含通道區及與通道區相鄰之源極/汲極區;閘極結構位於通道區上;閘極結構被第一間隔物中的兩個第一間隔物夾住,此兩個第一間隔物被第二間隔物中的兩個第二間隔物夾住;源極/汲極接觸件位於源極/汲極區上;源極/汲極接觸件被襯層中的兩個襯層夾住。
以下發明實施例提供了許多不同的實施例,或範例,用於實施所提供的主題之不同的部件。元件及排列的特定範例如下所述以簡化本發明實施例。這些僅僅是範例而並非用於限定本發明實施例。例如,敘述中若提及第一元件形成在第二元件之上或上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
此外,會用到空間相對用詞,例如「下面」、「在……之下」、「下」、「在……之上」、「上」及其衍生詞,是為了便於描述圖式中一個部件與另一個部件之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
值得注意的是,本發明以多閘極電晶體或鰭型(fin-type)多閘極電晶體(在此被稱為鰭式場效電晶體)的形式呈現實施例。這樣的裝置可以包含P型金屬氧化物半導體鰭式場效電晶體裝置或N型金屬氧化物半導體鰭式場效電晶體裝置。鰭式場效電晶體裝置可以是雙閘極(dual-gate)裝置、三閘極(tri-gate)裝置、塊材(bulk)裝置、絕緣體上覆矽(silicon-on-insulator,SOI)裝置、及/或其他配置。通常知識者可以辨別出可能受益於本發明實施例之各個面向的其他半導體裝置之實施例。例如,此處描述的一些實施例也可以被應用於環繞式閘極(gate-all-around,GAA)裝置、Omega-閘極(Omega-gate,Ω-gate)裝置、或Pi-閘極(Pi-gate,Π-gate)裝置。
此應用與半導體裝置結構及其形成方法相關,特別是高速(high-speed)半導體裝置結構及其形成方法。本發明實施例之半導體裝置結構包含氣隙以減少寄生電容並增加半導體裝置之速度,其中此氣隙位在閘極結構兩側、源極/汲極接觸件兩側、上覆閘極結構的閘極接觸孔兩側、及上覆源極/汲極接觸件的源極/汲極接觸孔兩側。根據本發明之實施例,半導體結構可以包含延伸自基板表面的鰭片。鰭片可以包含通道區及與通道區相鄰的源極/汲極區。半導體結構可以包含延伸到整個通道區的閘極結構及源極/汲極區上的源極/汲極接觸件。半導體結構也可以包含閘極結構上的閘極接觸孔,此閘極接觸孔與閘極結構電性耦合;以及包含源極/汲極接觸件上的源極/汲極接觸孔,此源極/汲極接觸孔與源極/汲極接觸件電性耦合。閘極結構及閘極接觸孔橫向地被夾在沿著閘極結構側壁延伸的間隔物之間。源極/汲極接觸件及源極/汲極接觸孔橫向地被夾在沿著源極/汲極接觸件側壁延伸的襯層之間。間隔物及相鄰的襯層被氣隙分離,此氣隙垂直地從閘極結構底部延伸至閘極接觸孔之頂表面附近或源極/汲極接觸孔之頂表面附近。這些垂直延伸的氣隙作為極低介電常數(low-k)間隔物以減少形成於導電部件(例如閘極結構、源極/汲極接觸件、閘極接觸孔、及源極/汲極接觸孔)之間的寄生電容並增加半導體裝置的速度
第1圖繪示了一個鰭式場效電晶體裝置100。鰭式場效電晶體裝置100包含一或多個鰭片為主(fin-based)的多閘極場效電晶體。雖然本發明之實施例使用第1圖中的鰭式場效電晶體裝置100作為範例以描述,本發明並非如此受限,且可以被應用於其他類型(包含本發明實施例的圖示所呈現之鰭片元件(fin-element)以外的半導體部件)的場效電晶體。鰭式場效電晶體裝置100包含基板102、至少一個延伸自基板102的鰭片元件104、隔離區106、及設置於鰭片元件104上及鰭片元件104周圍的閘極結構120。基板102可以是半導體基板,例如矽(silicon)基板。基板可以包含不同層,包含形成在半導體基板上的導電層或絕緣層。基板102可以依照本領域中已知的設計要求以包含不同的摻雜配置。基板102也可以包含其他半導體,例如鍺(germanium)、碳化矽(silicon carbide,SiC)、矽鍺(silicon germanium,SiGe)、或鑽石。或者,基板102可以包含化合物半導體及/或合金半導體。此外,在一些實施例中,基板102可以包含磊晶層(epitaxial layer,epi-layer)、基板102可以加入應變以增進效能、基板102可以包含絕緣體上覆矽結構、及/或基板102可以具有其他適合的增強部件。
鰭片元件104(或鰭片104)如同基板102,可以包含矽或另一種元素半導體(elementary semiconductor),例如鍺;化合物半導體,包含碳化矽、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP、及/或GaInAsP;或其組合。鰭片104可以使用適合的製程製造,包含光微影(photolithography)及蝕刻製程。光微影製程可以包含形成光阻層(光阻劑)於基板102(例如矽層)上、將光阻劑曝光以形成圖案、進行曝光後(post-exposure)烘烤製程、及將光阻劑顯影以形成包含光阻劑之遮罩元件。在一些實施例中,圖案化光阻劑以形成遮罩元件包含可以利用電子束(electron beam,e-beam)微影製程以進行。遮罩元件可以接著在蝕刻製程於基板102形成凹槽(recess)時用於保護基板102上之區域,從而留下延伸的鰭片104。凹槽可以用乾蝕刻(例如化學氧化物移除(chemical oxide removal))、濕蝕刻、及/或其他適合的製程以進行蝕刻。眾多其他在基板102上形成鰭片104之方法的實施例也可以被使用。
複數個鰭片104中的每一個鰭片也包含源極/汲極區105,源極/汲極區105形成在鰭片104中、在鰭片104上、與鰭片104相鄰、及/或環繞鰭片104。值得注意的是,在場效電晶體中,例如鰭式場效電晶體裝置100,通道區被夾在源極區與汲極區之間。為了便於參考及敘述,位於通道區相異側的源極區及汲極區通常被稱為源極/汲極區,以源極/汲極區105表示(如第1圖中所示)。源極/汲極區105可以磊晶成長於鰭片104上。電晶體的通道區沿著基本上和由第1圖的線段A-A’定義出的平面平行之平面,被設置於鰭片104內、閘極結構120下方。在一些範例中,鰭片的通道區包含高遷移率(high-mobility)材料,例如鍺、以及任何以上討論的化合物半導體或合金半導體及/或其組合。高遷移率材料包含那些電子遷移率大於矽的材料。例如,在一些範例中,電子遷移率大於矽,且具有在室溫(300K)下1350平方公分/伏特-秒的電子遷移率,而電洞遷移率約為480平方公分/伏特-秒。
隔離區106可以是淺溝槽隔離(shallow trench isolation,STI)部件。或者,場氧化物、區域氧化(local oxidation of silicon,LOCOS)部件、及/或其他適合的隔離部件可以被配置於基板102之上及/或基板102之中。隔離區106可以包含氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、氟矽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、其組合、及/或其他本領域已知的適合的材料。在一個實施例中,隔離結構為淺溝槽隔離部件且為藉由在基板102上蝕刻溝槽所形成。溝槽可以接著以隔離材料填滿,再進行化學機械拋光(chemical mechanical polishing,CMP)。然而,其他實施例也可以使用。在一些實施例中,隔離區106可以包含多層結構。
閘極結構120包含閘極堆疊,其包含閘極介電層108、及形成在閘極介電層108上的金屬層122。在一些實施例中,閘極介電層108可以包含形成在鰭片104的通道區上的界面層及形成在此界面層上的高介電常數(high-K)介電層。閘極介電層108的界面層可以包含介電材料,例如氧化矽(SiO2 )層或氮氧化矽(SiON)層。閘極介電層108中的高介電常數介電層可以包含HfO2 、TiO2 、HfZrO、Ta2 O3 、HfSiO4 、ZrO2 、ZrSiO2 、其組合、或其他適合的材料。在其他實施例中,閘極介電層108可以藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、及/或其他適合的方法形成。金屬層122可以包含導電層,例如鎢(W)、氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、錸(Re)、銥(Ir)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、鈷(Co)、鎳(Ni)、其組合、及/或其他適合的組成。在一些實施例中,金屬層122可以包含用於N型鰭式場效電晶體的第一組金屬材料及用於P型鰭式場效電晶體的第二組金屬材料。因此,鰭式場效電晶體裝置100可以包含雙功函數(dual work-function)金屬閘極配置。例如,第一金屬材料(例如,用於N型裝置)可以包含功函數基本上和基板導帶之功函數對齊的金屬,或至少基本上和鰭片104之通道區的導帶之功函數對齊。相似地,例如,第二金屬材料(例如,用於P型裝置)可以包含功函數基本上和基板價帶之功函數對齊的金屬,或至少基本上和鰭片104之通道區的價帶之功函數對齊的金屬。因此,金屬層122可以為鰭式場效電晶體裝置100(包含N型及P型鰭式場效電晶體裝置100)提供閘極。在一些實施例中,金屬層122可以交替地包含多晶矽(polysilicon)層。金屬層122可以藉由物理氣相沉積、化學氣相沉積、電子束蒸鍍、及/或其他適合的製程沉積。
在一些實施例中,閘極替換製程(replacement gate process或gate replacement process)可以用於形成閘極結構120。在閘極替換製程中,先用半導體材料(例如多晶矽)形成犧牲閘極結構(sacrificial gate structure)或閒置閘極(dummy gate)於通道區上以作為將形成之最終閘極結構的佔位件(placeholder)。製造完閒置閘極周圍的部件後,閒置閘極將被移除並由最終閘極結構取代。使用閘極替換製程時,多個間隔物(或間隔物層)可以被形成在閒置閘極上。形成於閒置閘極結構之頂表面上的間隔物可以在之後的階段中被移除以允許進入並移除閒置閘極結構。在第1圖所代表的實施例中,兩個間隔物,即第一間隔物110及第二間隔物(未顯示,於第1圖中被移除)形成於閒置閘極上。襯層可以形成在第二間隔物的側壁上。在閒置閘極被移除且被閘極結構120替換後,這兩個間隔物及襯層可以維持在閘極結構120的側壁上。間隔物及襯層可以包含介電材料,例如氧化矽、氧化氮、碳化矽、氮氧化矽、碳氧化矽(silicon oxy-carbide)、氮碳化矽(silicon carbide nitride)、氮碳氧化矽(silicon oxy-carbide nitride)、氧化鋁(aluminum oxide)、氮氧化鋁(aluminum oxynitride)、氮化鋁(aluminum nitride)、氧化鋯(zirconium oxide)、氮氧鋯鋁(zirconium aluminum oxynitride)、非晶矽(amorphous silicon)、或其組合。在一些實施例中,第二間隔物被移除,留下氣隙112,其介於第一間隔物110及襯層114之間。第1圖中的氣隙112由上方被第一密封層140塞住。
在一些實施例中,為了避免金屬層122在後續的製程中被蝕刻或氧化,可以將閘極蓋層124形成在金屬層122上。閘極蓋層124可以由鎢、鈷、鎳、釕、鈦(Ti)、氮化鈦、鉭、氮化鉭形成。在一些實施方式中,可以形成閘極介電蓋(gate dielectric cap)於閘極蓋層124上。在第1圖中,閘極介電蓋在形成穿過閘極介電蓋的閘極接觸孔126之後被移除,且由第一密封層140取代。在第1圖所代表的實施例中,閘極接觸孔126垂直延伸穿過第一密封層140且透過蓋層124與金屬層122電性耦合。金屬層122可以被稱為閘極結構。
鰭式場效電晶體裝置100可以包含電性耦合至源極/汲極區105的源極/汲極接觸件132。為了將源極/汲極接觸件132電性耦合至源極/汲極區105,源極/汲極區105可以被凹蝕,且矽化物層可以在源極/汲極區105及源極/汲極接觸件132之間形成。在一些實施方式中,可以在凹蝕源極/汲極區105之前在凹蝕源極/汲極區105上形成蝕刻停止層。接著將矽化物前驅物,例如鎳、鈷、鈦,沉積在凹蝕的源極/汲極區105上。矽化物部件,例如矽化鎳、矽化鈷、或矽化鈦,可以在退火後形成於凹蝕的源極/汲極區105上。矽化物部件可以減少源極/汲極區105及源極/汲極接觸件132之間的接觸電阻。和金屬層122相似,源極/汲極蓋層134可以由鎢、鈷、鎳、釕、鈦、氮化鈦、鉭、氮化鉭形成。在此之後,可以在源極/汲極蓋層134上形成源極/汲極接觸孔136以形成金屬層或互連結構的路徑。雖然未顯示於第1圖,矽化物部件、源極/汲極接觸件132、源極/汲極蓋層134、及源極/汲極接觸孔136可以形成在源極/汲極區105上,而源極/汲極區105普遍上被介電層覆蓋。在第1圖中未顯示介電層,因為它已和第二間隔物層一起被移除,且被第一密封層140取代。
如上所述,積極地微縮化積體電路之尺寸造成了寄生電容的增加(例如鰭式場效電晶體閘極及源極/汲極區或源極/汲極接觸件之間),因此降低裝置的效能。特別是,側壁間隔物對於寄生電容的貢獻已成為鰭式場效電晶體的總寄生電容中較大的部分。為了解決這個問題,採用了氣隙或氣隙側壁間隔物,例如,用以取代一或多個用於傳統側壁間隔物之介電材料(例如形成在閘極結構120的側壁上)。在不同的實施例中,氣隙提供低於用於傳統側壁間隔物之介電材料的介電常數。因此,採用氣隙的裝置通常具有較低的寄生電容及改善的效能。然而,此氣隙在閘極結構頂表面附近終止,且沒有在閘極接觸孔及源極/汲極接觸孔之間相似地被採用。結果,閘極接觸孔及源極/汲極接觸孔不是變得太薄就是刻意被和彼此分離以確保其之間具有足夠的介電材料。藉由這麼做,通過接觸孔的電流可能會被限制,且佈線設計的自由度可能會受到限制。
本發明之實施例提供了優於現有技術的優點,儘管已知其它的實施例可能會提供不同的優勢,並非所有的優勢都必須在此討論,且對於所有的實施例來說沒有任何特定的優勢是必要的。例如,此處討論的實施例包含提供氣隙的結構及方法(例如,藉由多層密封材料保護),此氣隙具有較低的間隔物介電常數,並且增進裝置的效能。特別是,此處揭露之實施例提供了氣隙的形成,此氣隙沿著平行基板之頂表面(或主表面)的方向不只是將閘極結構從源極/汲極接觸件分離,也將閘極接觸孔從源極/汲極接觸孔分離。
參照第2圖,其繪示了製造半導體裝置300(例如鰭式場效電晶體裝置,也被稱為裝置300)的方法,此方法依據一或多個實施例,包含氣隙。在一些實施例中,方法200可以用於製造以上參照第1圖所述的鰭式場效電晶體裝置100。因此,以上參照鰭式場效電晶體裝置所討論的一或多個面向也可以應用於方法200。另外,第3至8圖沿著基本上和第1圖中的A-A’線段定義出的平面平行的平面,提供了根據第2圖之方法200的一或多道步驟所製造的例示性裝置300截面圖。值得注意的是,雖然第1圖及第3圖可能會包含不同的裝置部件及面向,但是它們可以是同一個半導體裝置的圖示。
可了解的是,方法200之一部分及/或半導體裝置300可以藉由已知的的CMOS製造流程以製造,因此一些製程在此只會簡短描述。此外,如上所述,裝置300可以共享裝置100的面向,因此一些裝置300的面向及/或製程為了清楚理解只會簡短描述。又,半導體裝置300可以包含各種其他裝置及部件,例如額外的電晶體、雙極接面電晶體(bipolar junction transistors)、電阻器、電容器、偶極、熔絲(fuses)等,但為了更好理解本發明實施例之發明概念,已簡化半導體裝置300。又,在一些實施例中,半導體裝置300包含複數個可以彼此互連的半導體裝置(例如電晶體)。
在不同的實施例中,裝置300可以是積體電路製造過程中製造的中間裝置或其一部分。此積體電路可以包含靜態隨機存取記憶體(static random  access memory,SRAM)、及/或其他邏輯電路、被動元件(例如電阻器、電容器、及電感器)、及主動元件(例如P通道場效電晶體(P-channel field-effect transistors,PFETs)、N通道場效電晶體(P-channel field-effect transistors,NFETs)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors,MOSFETs)、高電壓電晶體、高頻電晶體、其他記憶單元、及/或其組合)。
參照方法200,方法200由步驟202開始,其中提供了場效電晶體裝置300。在製造過程中,鰭式場效電晶體裝置300有時被稱為工件。在這個意義上,步驟202中接收了具有鰭式場效電晶體裝置300於其上的工件。鰭式場效電晶體裝置300可以包含閘極結構320、源極/汲極接觸件332、及至少兩個沿著閘極結構320之側壁形成的間隔物。參照第3圖,其繪示了一個包含從基板延伸之鰭片302的鰭式場效電晶體裝置300。鰭片302包含通道區304及與通道區相鄰的源極/汲極區305。鰭式場效電晶體裝置300也包含閘極結構320,閘極結構320包含閘極介電層308、及閘極介電層308上的金屬層322。在一些實施例中,基板、鰭片302、閘極介電層308、及金屬層322基本上可以與上述參照第1圖的基板102、鰭片元件104、閘極介電層108、及金屬層122相似。在一些範例中,金屬層322具有約30至40奈米的高度。在一些實施方式中,鰭式場效電晶體裝置300也可以包含設置在金屬層322上的閘極蓋層324及在閘極蓋層324上的第一介電層316。在一些範例中,第一介電層316可以被稱為第一自對準接觸(self-aligned contact,SAC)介電層或SAC1層。在第3圖代表的實施例中,鰭式場效電晶體裝置300包含兩個間隔物:第一間隔物310及第二間隔物312。在一些實施例中,每一個第一間隔物310及第二間隔物312可以被形成為約5奈米至約10奈米的寬度。如上所述,因為間隔物是藉由沉積間隔物材料於閒置閘極上所形成,然後移除閒置閘極,閘極間隔物將被繪示於閘極結構320的兩側。在一些實施例中,金屬層322可以由導電層所形成,例如鎢、氮化鈦、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鎳、其組合、及/或其他適合的組成。
在一些實施例中,裝置300的源極/汲極區305包含源極/汲極磊晶部件,其可以由一或多道磊晶製程所形成。在某些情況下,源極/汲極磊晶部件可以形成在源極/汲極區305中的鰭片302之中、之上、及/或周圍。值得注意的是,為了便於繪圖,在第3至8圖中鰭片302被繪示為沿Y方向平坦。在一些範例中,鰭片302沿著線A-A’的形貌可能基於不同的原因而並非平坦,其原因包含,例如,源極/汲極部件的存在及源極/汲極部件的凹陷。襯層314可以形成在源極/汲極區305上及第二間隔物312的側壁上。在不同的範例中,當源極/汲極磊晶部件之頂表面上的襯層材料被移除後,矽化物層可以形成在源極/汲極磊晶部件上以減少接觸電阻。在一些實施例中,矽化物層可以包含鈷矽化物(Co silicide)、鎳矽化物(Ni silicide)、或鈦矽化物(Ti silicide)。又,在一些實施例中,源極/汲極接觸件332可以形成在矽化物層上以提供與源極/汲極磊晶部件的電性接觸。在至少一些實施例中,源極/汲極接觸件332包含鈷層,儘管其他適合的材料在不脫離本發明實施例的範疇下也可以被使用。在一些第3圖所代表的實施例中,閘極結構320被夾在兩個第一間隔物310之間,這兩個第一間隔物310又再沿Y方向從閘極結構320的兩側被兩個第二間隔物312夾住。源極/汲極接觸件332被夾在兩個襯層312之間。在這些實施例中,第二間隔物312介於第一間隔物310及襯層314之間。如第三圖所繪示,源極/汲極蓋層334可以形成在源極/汲極接觸件332上且第二介電層318(或第二自對準接觸介電層318或SAC2)可以形成在源極/汲極蓋層334上。第3圖中鰭式場效電晶體裝置300的工件使用化學機械拋光(CMP)或其他適合的研磨技術平坦化。
在一些實施例中,閘極蓋層324及源極/汲極蓋層334可以由相同或相異的材料所形成。例如,閘極蓋層324及源極/汲極蓋層334可以由鎢、鈷、鎳、釕、鈦、鉭、氮化鈦、氮化鉭、其組合、及/或其他適合的組成所形成。在一些範例中,閘極蓋層324及源極/汲極蓋層334可以在介於約300℃至約400℃的溫度、介於約1托至約10托的壓力下形成。在一些實施方式中,閘極蓋層324及源極/汲極蓋層334可以形成約3奈米至約30奈米的厚度。
在一些實施例中,第一間隔物310、第二間隔物312、襯層314、第一自對準接觸介電層316、及第二自對準接觸介電層318可以包含介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮氧化鋁、氮化鋁、氧化鋯、氮氧鋯鋁、非晶矽、或其組合。它們可以藉由化學氣相沉積、原子層沉積、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)、電漿增強原子層沉積(plasma-enhanced-ALD,PEALD)、或其他適合的技術。例如,當它們是由氧化矽所形成時,它們可以在介於約50℃至約400℃的溫度範圍及介於約1托至約10托的壓力下使用矽甲烷(silane,SiH4 )及氧化亞氮(nitrous oxide,N2 O)作為前驅物以形成。當它們是由氮化矽所形成時,它們可以在介於約250℃至約500℃的溫度範圍及介於約1托至約10托的壓力下使用二氯矽烷(dichlorosilane,DCS)及氨氣(ammonia,NH3 )作為前驅物以形成。當它們是由碳化矽所形成時,它們可以在介於約200℃至約450℃的溫度範圍及介於約1托至約10托的壓力下使用具有三甲基矽烷基(trimethylsilyl groups)的前驅物以形成。當它們是由氮氧化矽所形成時,它們可以在介於約200℃至約450℃的溫度範圍及介於約1托至約10托的壓力下使用矽甲烷及氧化亞氮作為前驅物以形成。當它們是由碳氧化矽(silicon oxy-carbide)所形成時,它們可以在介於約200℃至約450℃的溫度範圍及介於約1托至約10托的壓力下使用包含矽-碳(Si-C)的氣體反應物及含氧的反應物以形成。當它們是由氮碳化矽(silicon oxy-carbide nitride)所形成時,它們可以在介於約200℃至約450℃的溫度範圍及介於約1托至約10托的壓力下使用包含矽-碳(Si-C)的氣體反應物及含氮的反應物以形成。當它們是由氮碳氧化矽所形成時,它們可以在介於約200℃至約450℃的溫度範圍及介於約1托至約10托的壓力下使用包含矽-碳(Si-C)的氣體反應物及含氧/氮的反應物以形成。當它們是由氧化鋁/氮氧化鋁/氮化鋁所形成時,它們可以在介於約200℃至約400℃的溫度範圍及介於約1托至約10托的壓力下使用三甲基胺(trimethylamine,TMA)及水作為前驅物以形成。當它們是由氧化鋯/氮氧鋯鋁/氮化鋁所形成時,它們可以在介於約200℃至約400℃的溫度範圍及介於約1托至約10托的壓力下使用四氯化鋯(zirconium tetrachloride,ZrCl4 )、三甲基胺(trimethylamine,TMA)及水作為前驅物以形成。當它們是由非晶矽所形成時,它們可以在介於約350℃至約530℃的溫度範圍及介於約0托至約1托的壓力下使用矽甲烷及二矽乙烷(disilane,Si2 H6 )作為前驅物以形成。在一些範例中,第一間隔物310及第二間隔物312可以形成約0.5奈米至約15奈米的厚度。
沿著平面A-A’的截面可以延伸穿過多個通道區及源極/汲極區,其中採取了不同類型的閘極佈線及源極/汲極佈線。在第3圖中(以及第4至11圖中),多個可能不會沿著同個平面A-A’顯示的區域及部件為了說明的目的而被繪示於圖中,且這樣的說明並非用以限定本發明實施例之範疇(除非另有明確描述)。在一些第3圖(以及第4至11圖中)所代表的實施例中,截面穿過閘極結構320、源極/汲極接觸結構(包含例如源極/汲極接觸件332及源極/汲極蓋層334)330、閘極結構320A、源極/汲極接觸結構330A、閘極切割部件320B、及源極/汲極接觸隔離部件330B。在一些範例中,閘極結構320及源極/汲極接觸結構330可能出現在同一個平面。在一些其他的範例中,閘極結構320A及源極/汲極接觸結構330A可能出現在同一個平面。在還有一些其他的範例中,閘極切割部件320B及源極/汲極接觸隔離部件330B可能出現在同一個平面。使用閘極替換製程時,閘極切割部件320B可以藉由填入第一填充材料317於開口中,使得如金屬層322的金屬層無法被沉積於開口中。相似地,源極/汲極接觸隔離部件330B可以藉由填入第二填充材料319於開口中,使得源極/汲極接觸層無法被沉積於開口中。如第3圖所示,閘極切割部件320B不包含任何閘極介電層、金屬層、或閘極蓋層。相似地,源極/汲極接觸隔離部件330B不包含任何源極/汲極接觸件或源極/汲極蓋層。閘極切割部件320B分離閘極結構,例如閘極結構320A。源極/汲極接觸隔離部件330B分離源極/汲極接觸結構,例如源極/汲極接觸結構330A。
接著方法200進行至步驟204,其中形成了閘極接觸孔及源極/汲極接觸孔。例如,參照第3圖、第4圖及步驟204中的一個實施例,利用適合的微影技術,形成了閘極接觸孔326,其穿過第一自對準接觸介電層316以電性耦合至閘極蓋層324,也形成了源極/汲極接觸孔336,其穿過第二自對準接觸介電層318以電性耦合至源極/汲極蓋層334。一個例示性的製程可以包含在第一自對準接觸介電層316及第二自對準接觸介電層318上形成光阻層(光阻劑)、將光阻劑曝光於圖案下、進行曝光後烘烤製程、將光阻劑顯影以形成包含光阻劑之遮罩元件。使用遮罩元件曝露將要形成穿孔開口的區域即可蝕刻出穿孔開口,此開口穿過第一自對準接觸介電層316及第二自對準接觸介電層318。接著導電材料可以被沉積在穿孔開口中及遮罩元件上。過量的導電材料及一部分的自對準接觸介電層將會藉由平坦化製程移除,例如藉由化學機械拋光以形成平坦的表面,如第4圖所示。用於閘極接觸孔326及源極/汲極接觸孔336的導電材料可以包含鎢、氮化鈦、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鎳、或其組合。
順著沿線A-A’之平面的截面圖可以同時或非同時延伸穿過閘極接觸孔及源極/汲極接觸孔。例如,第4圖中裝置300的截面延伸穿過用於閘極結構320的閘極接觸孔326及用於源極/汲極接觸結構330(包含例如源極/汲極接觸件332及源極/汲極蓋層334)的源極/汲極接觸孔336。然而,在這個範例中,截面可能錯過用於閘極結構320A的閘極接觸孔及用於源極/汲極接觸結構330A(包含例如源極/汲極接觸件332及源極/汲極蓋層334)的源極/汲極接觸孔。
參照第2圖,在形成閘極接觸孔326及源極/汲極接觸孔336後,方法200進行至步驟206,其中第二間隔物312被移除以形成氣隙1312,如第4圖及第5圖所示。在一些實施例中,第二間隔物312(在第4圖中)、第一自對準接觸介電層316、第二自對準接觸介電層318、第一填充材料317、及第二填充材料319藉由蝕刻被移除,而第一間隔物310、襯層314、閘極接觸孔326、及源極/汲極接觸孔336基本上保持不被蝕刻。第二間隔物312之移除可以被稱為間隔物回蝕(etch-back)製程。在一些實施方式中,間隔物回蝕製程可以藉由乾蝕刻、濕蝕刻以進行,或藉由其組合,利用不同材料之間的相對蝕刻選擇性來進行間隔物回蝕製程。亦即,這些會在步驟206被移除的層包含第二間隔物312(在第4圖中)、第一自對準接觸介電層316、第二自對準接觸介電層318、第一填充材料317、及第二填充材料319,這些層可以用會優先被蝕刻化學移除的材料形成,而上述蝕刻化學對於第一間隔物310、襯層314、閘極接觸孔326、及源極/汲極接觸孔336的蝕刻速率較慢。例如,第二間隔物312(在第4圖中)、第一自對準接觸介電層316、第二自對準接觸介電層318、第一填充材料317、及第二填充材料319可以用氧化矽形成。而第一間隔物310、及襯層314可以用氮化矽形成。在這個範例中,用於間隔物回蝕製程的乾蝕刻液或濕蝕刻液可以對於氧化矽更有選擇性。
在一些第5圖所代表的實施例中,開口410、開口420、開口430、開口440、開口450、及開口460可以在步驟206之後形成於工件內。在這些開口之中,開口410圍繞閘極接觸孔326、開口420圍繞源極/汲極接觸孔336、開口430位於閘極結構320A上、開口440位於源極/汲極接觸結構上、開口450位於閘極切割部件320B上、而開口460位於源極/汲極接觸隔離部件330B上。
參照第2圖及第6圖,方法200進行至步驟208,在步驟208中第一密封層500被沉積在工件上。在一些實施例中,沉積第一密封層500的製程會選擇使第一密封層500和裝置300之工件的頂表面共形。在一些實施方式中,沉積第一密封層500的製程是等向性的,使得第一密封層500會在氣隙1312(第5圖)的頂開口的兩側附近變厚,且最後塞住氣隙的頂開口,留下塞住的氣隙1312’。在一些範例中,沉積第一密封層500的製程可以是非等向性的。由於氣隙1312的寬度W可能會相對較小,例如介於約2奈米至約4奈米,且氣隙1312的高度H可能會相對較大,例如介於約10奈米至約50奈米,氣隙1312的深寬比(高度除以寬度,H/W)約為3或更大。在這樣的深寬比範圍下,沉積第一密封層500的非等向性製程可以塞住氣隙1312的上開口而不填滿氣隙1312。第一密封層500可以包含介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮氧化鋁、氮化鋁、氧化鋯、氮氧鋯鋁、非晶矽、或其組合。在一些實施例中,沉積第一密封層500時可以使用上述用於形成第一間隔物310、第二間隔物312、襯層314、第一自對準接觸介電層316、第二自對準接觸介電層318的溫度範圍、壓力範圍、及前驅物。
參照第2圖及第7圖,方法200進行至步驟210,其中第二密封層600被沉積在第一密封層500上。在一些實施例中,可以刻意選擇填孔(hole-filling)能力有限的製程以沉積第二密封層600。用於步驟210的例示性製程可以包含化學氣相沉積或旋轉塗佈。如第7圖所繪示,因為製程上有限的填孔能力,氣穴610、620、630、及640可以在步驟210中形成。第二密封層600可以包含介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮氧化鋁、氮化鋁、氧化鋯、氮氧鋯鋁、非晶矽、或其組合。在一些實施例中,沉積第一密封層500時可以使用上述用於形成第一間隔物310、第二間隔物312、襯層314、第一自對準接觸介電層316、第二自對準接觸介電層318的溫度範圍、壓力範圍、及前驅物。
參照第2圖及第8圖,方法200進行至步驟212,其中在工件上進行了化學機械拋光製程。化學機械拋光製程平坦化裝置300之工件的頂表面,使得第一間隔物310、襯層314、閘極接觸孔326、源極/汲極接觸孔336、及殘留部分之第二密封層600的頂表面共平面。殘留部分之第二密封層600定義出氣穴610、620、630、及640。在一些第8圖所代表的實施例中,在化學機械拋光之後,由第一密封層500所形成的插塞部件510可以形成於第一間隔物310及襯層314之間以定義出塞住的氣隙1312’的上邊界。
在一些第10圖中所繪示之替代的實施例中,閘極接觸孔326及替代的源極/汲極接觸孔3361可以分別被形成。例如,閘極接觸孔326可以藉由步驟210及212所描述的操作以形成,而替代的源極/汲極接觸孔3361可以接著利用相似的操作以形成。在另一個範例中,閘極接觸孔326可以在替代的源極/汲極接觸孔3361之後形成。在這些替代的實施例中,閘極接觸孔326及替代的源極/汲極接觸孔3361可以不是共平面,且它們之中的其中一個可以具有比另外一個更高的高度(相對於基板(第1圖))。
參照第2圖,方法200進行至步驟214,其中進行了更多製程。例如,接下來的製程可以形成各種多層互連部件(例如金屬層及層間介電層)於基板上,配置以連結各種部件而形成可以包含一或多個鰭式場效電晶體的功能性電路。進一步舉例說明,多層內連線可以包含垂直內連線,例如穿孔或接點,及水平內連線,例如金屬線路。各種互連部件可以使用不同的導電材料,包含銅、鎢、及/或矽化物。在一個範例中,鑲嵌(damascene)及/或雙重鑲嵌製程被用於形成銅相關的多層互連結構。
在一些實施例中,氣穴610、620、630、及640可以是封閉且完全由第二密封層600所完全圍繞的,如第8圖及第9圖所繪示。在那些實施例中,氣穴610、620、630、及640被第二密封層600所完全密封。在一些第10圖及第11圖所繪示之替代的實施例中,氣穴610、620、630、及640可以不被第二密封層600所完全圍繞,且可以被稱為開放式(open)氣穴610’、620’、630’、及640’。在這些實施例中,開放式氣穴610’、620’、630’、及640’ 並非被第二密封層600所完全密封,且每一個開放式氣穴各別包含一個穿過閘極結構320A、源極/汲極接觸結構330A、閘極切割部件320B、及源極/汲極接觸隔離部件330B之頂表面的開口。值得注意的是,這些開放式氣穴610’、620’、630’、及640’雖然沒有被第二密封層600密封,它們可以在步驟214中被層間介電層密封。
因此,此處描述的各種實施例與現有技術相比提供了多個優勢。可以理解的是,並非所有的優勢都已在此討論,沒有特定的優勢對於所有實施例都是必須的,且其他實施例可以提供不同的優勢。例如,此處討論的實施例包含提供氣隙的結構及方法,此氣隙不只是在閘極結構及源極/汲極接觸件之間延伸,也在閘極接觸孔及源極/汲極接觸孔之間延伸。氣隙的存在可以提供較低的間隔物介電常數,造成閘極接觸孔及相鄰的源極/汲極接觸孔之間的寄生電容減少,增進半導體裝置的速度及效能。在一些實施例中,因為氣隙充分地減少介電常數,可以在裝置300中形成和閘極蓋層324沿著Y方向連續的狹縫(slot)閘極接觸孔326’及和源極/汲極蓋層334沿著Y方向連續的狹縫源極/汲極接觸孔336’,如第9圖所繪示。第9圖中較寬的狹縫閘極接觸孔326’及狹縫源極/汲極接觸孔336’可以提供額外的好處,例如更加減少閘極蓋層324及狹縫閘極接觸孔326’之間、狹縫閘極接觸孔326’及其上的互連結構之間、源極/汲極蓋層334及狹縫源極/汲極接觸孔336’之間、及狹縫源極/汲極接觸孔336’及其上的互連結構之間的接觸電阻。更多的實施例及優勢對於擁有本揭露的本發明所屬技術領域中具有通常知識者將會是明顯的。
在一些第11圖所繪示之可選的實施例中,狹縫閘極接觸孔326’及替代的狹縫源極/汲極接觸孔3361’可以分別形成。例如,可以先形成狹縫閘極接觸孔326’,再用相似的操作形成替代的狹縫源極/汲極接觸孔3361’。在另一個範例中,可以在形成替代的狹縫源極/汲極接觸孔3361’之後再形成狹縫閘極接觸孔326’。在這些替代的實施例中,狹縫閘極接觸孔326’及替代的狹縫源極/汲極接觸孔3361’可以不是共平面,且它們之中的其中一個可以具有比另外一個更高的高度(相對於基板(第1圖))。
因此,本發明之其中一個實施例提供了一個半導體裝置。此半導體裝置包含延伸自基板的鰭片、鰭片之通道區上的閘極結構、鰭片之源極/汲極區上的源極/汲極接觸件、和閘極結構相鄰的閘極切割部件、和源極/汲極接觸件相鄰的源及/汲極接觸隔離部件、沿著閘極切割部件及閘極結構的側壁延伸的間隔物、沿著源極/汲極接觸隔離部件及源極/汲極接觸件的側壁延伸的襯層、及夾在間隔物及襯層之間的氣隙。閘極切割部件及源極/汲極接觸隔離部件被間隔物、氣隙、及襯層分離。
在一些實施例中,閘極結構及源極/汲極接觸件被氣隙分離。在一些實施方式中,半導體裝置更包含位於閘極結構及源極/汲極接觸件上的第一介電層、位於閘極結構上並與閘極結構電性耦合的閘極接觸孔、及位於源極/汲極接觸件上並與源極/汲極接觸件電性耦合的源極/汲極接觸孔。閘極接觸孔延伸穿過閘極結構上的第一介電層。源極/汲極接觸孔延伸穿過源極/汲極接觸件上的第一介電層。閘極接觸孔及源極/汲極接觸孔更被一部分第一介電層分離。在一些範例中,半導體裝置更包含介於間隔物及襯層之間,位於氣隙上的插塞部件。在一些實施例中,閘極切割部件包含第一密封層、第一密封層上的第二密封層、及第二密封層中的氣穴。在一些實施方式中,氣穴被第二密封層圍繞。
在另外一些實施例中,提供了本發明實施例中的裝置。半導體裝置包含半導體部件,此半導體部件具有通道區及和通道區相鄰的源極/汲極區、通道區上的閘極結構、位於閘極結構上並與閘極結構電性耦合的閘極接觸孔、源極/汲極區上的源極/汲極接觸件、位於源極/汲極接觸件上並與源極/汲極接觸件電性耦合的源極/汲極接觸孔、及氣隙。閘極接觸孔及源極/汲極接觸孔被氣隙分離。
在一些實施例中,半導體裝置更包含複數個間隔物及複數個襯層。半導體裝置沿第一方向延伸。閘極結構沿著第一方向被夾在複數個間隔物的其中兩個之間。源極/汲極接觸件沿著第一方向被夾在複數個襯層的其中兩個之間。在一些實施方式中,氣隙被夾在複數個間隔物的其中一個及複數個襯層的其中一個之間。在一些範例中,閘極接觸孔在複數個間隔物的其中兩個之間延伸,而源極/汲極接觸孔在複數個襯層的其中兩個之間延伸。在一些實施例中,閘極接觸孔及源極/汲極接觸孔被複數個間隔物的其中一個、複數個襯層的其中一個、及氣隙分離。在一些實施方式中,閘極結構及源極/汲極接觸件被氣隙分離。在一些實施例中,半導體裝置更包含氣隙上的插塞部件。在一些實施方式中,半導體裝置更包含位於閘極結構及源極/汲極接觸件上的第一介電層。閘極接觸孔延伸穿過閘極結構上的第一介電層。源極/汲極接觸孔延伸穿過源極/汲極接觸件上的第一介電層。閘極接觸孔及源極/汲極接觸孔更被一部分第一介電層分離。在一些範例中,閘極結構更包含金屬層及金屬層上的第一蓋層。半導體裝置更包含源極/汲極接觸件上的第二蓋層。一部分第一蓋層位於閘極接觸孔及金屬層之間而一部分第二蓋層位於源極/汲極接觸孔及源極/汲極接觸件之間。在一些範例中,第一蓋層及第二蓋層分別包含鎢、鈷、鎳、釕、鈦、氮化鈦、鉭、或氮化鉭。
在另一個實施例中,提供了一個形成半導體裝置的方法。此方法包含接收一個工件,此工件包含基板、延伸自該基板的鰭片、位於鰭片之通道區上的閘極結構、複數個第一間隔物及複數個第二間隔物、位於鰭片之源極/汲極區上的源極/汲極接觸件、及複數個襯層。源極/汲極接觸件被複數個襯層的其中兩個夾住而閘極結構被複數個間隔物的其中兩個夾住。複數個第一間隔物中的其中兩個被夾在複數個第二間隔物的其中兩個之間。此方法更包含形成閘極接觸孔於閘極結構上,且與閘極結構電性耦合、形成源極/汲極接觸孔於源極/汲極區上、及在形成源極/汲極接觸孔之後,選擇性移除此複數個第二間隔物以形成氣隙,此氣隙延伸於閘極接觸孔及源極/汲極接觸孔之間。
在一些實施例中,此方法還包含在工件上形成第一密封層。在一些實施方式中,此方法還包含在第一密封層上形成第二密封層。在一些範例中,此方法還包含用第一沉積製程在工件上形成第一密封層及用第二沉積製程在第一密封層上形成第二密封層。第一沉積製程和第二沉積製程不同。
以上概述數個實施例,以便在本發明所屬技術領域中具有通常知識者可以更理解本實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:鰭式場效電晶體裝置 102:基板 104、302:鰭片元件(鰭片) 106:隔離區 108、308:閘極介電層 110、310:第一間隔物 112、1312:氣隙 114、314:襯層 120、320、320A:閘極結構 122、322:金屬層 124、324:閘極蓋層(蓋層) 126、326:閘極接觸孔 132、332:源極/汲極接觸件 134、334:源極/汲極蓋層 136、336、3361:源極/汲極接觸孔 140、500:第一密封層 200:方法 202、204、206、208、210、212、214:步驟 300:裝置 304:通道區 305:源極/汲極區 312:第二間隔物 316:第一介電層 317:第一填充材料 318:第二介電層 319:第二填充材料 320B:閘極切割部件 326’:狹縫閘極接觸孔 336’、3361’:狹縫源極/汲極接觸孔 330、330A:源極/汲極接觸結構 330B:源極/汲極接觸隔離部件 410、420、430、440、450、460:開口 510:插塞部件 600:第二密封層 610、620、630、640:氣穴 610’、620’、630’、640’:開放式氣穴 1312’:塞住的氣隙 A-   A’:線段 H:高度 W:寬度 X、Y、Z:方向
以下將配合所附圖式詳述本發明實施例之各個面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖是根據本發明之一或多個面向,繪示出鰭式場效電晶體之實施例的透視圖; 第2圖是依據一些實施例,繪示出製造包含氣隙側壁間隔物之半導體裝置的流程圖; 第3-8圖提供了根據第2圖之一或多道步驟所製造的例示性裝置的截面圖,此截面圖所沿的平面基本上平行於第1圖之線段A-A’定義出的平面;以及 第9圖提供了根據第2圖之一或多道步驟所製造的另一個例示性裝置的截面圖,此截面圖所沿的平面基本上平行於第1圖之線段A-A’定義出的平面; 第10圖提供了根據第2圖之一或多道步驟所製造的另一個例示性裝置的截面圖,此截面圖所沿的平面基本上平行於第1圖之線段A-A’定義出的平面; 第11圖提供了根據第2圖之一或多道步驟所製造的另一個例示性裝置的截面圖,此截面圖所沿的平面基本上平行於第1圖之線段A-A’定義出的平面。
300:裝置
302:鰭片元件(鰭片)
304:通道區
305:源極/汲極區
308:閘極介電層
310:第一間隔物
314:襯層
320、320A:閘極結構
320B:閘極切割部件
322:金屬層
324:閘極蓋層(蓋層)
326:閘極接觸孔
330、330A:源極/汲極接觸結構
330B:源極/汲極接觸隔離部件
332:源極/汲極接觸件
334:源極/汲極蓋層
336:源極/汲極接觸孔
500:第一密封層
510:插塞部件
600:第二密封層
610、620、630、640:氣穴
1312’:塞住的氣隙
Y、Z:方向

Claims (1)

  1. 一種半導體裝置,包括: 一鰭片,延伸自一基板,該鰭片包括一通道區及與該通道區相鄰之一源極/汲極區; 一閘極結構,位於該通道區上; 一源極/汲極接觸件,位於該源極/汲極區上; 一閘極切割部件,與該閘極結構相鄰; 一源極/汲極接觸隔離部件,與該源極/汲極接觸件相鄰; 一間隔物,沿著該閘極切割部件之一側壁及該閘極結構之一側壁延伸; 一襯層,沿著該源極/汲極接觸隔離部件之一側壁及該源極/汲極接觸件之一側壁延伸;以及 一氣隙,夾在該間隔物及該襯層之間,其中該閘極切割部件及該源極/汲極接觸隔離部件被該間隔物、該氣隙、及該襯層分離。
TW108141341A 2018-11-20 2019-11-14 半導體裝置及其形成方法 TW202036910A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862769922P 2018-11-20 2018-11-20
US62/769,922 2018-11-20
US16/406,154 US11637186B2 (en) 2018-11-20 2019-05-08 Field effect transistor having gate contact and source/drain contact separated by a gap
US16/406,154 2019-05-08

Publications (1)

Publication Number Publication Date
TW202036910A true TW202036910A (zh) 2020-10-01

Family

ID=70728395

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108141341A TW202036910A (zh) 2018-11-20 2019-11-14 半導體裝置及其形成方法

Country Status (3)

Country Link
US (2) US11637186B2 (zh)
CN (1) CN111200012A (zh)
TW (1) TW202036910A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764376B (zh) * 2020-11-17 2022-05-11 台灣積體電路製造股份有限公司 檢測結構及其製造方法,利用檢測結構的半導體結構檢測方法
TWI815432B (zh) * 2021-05-11 2023-09-11 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法
TWI824373B (zh) * 2021-01-27 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11670581B2 (en) 2020-11-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US11640936B2 (en) 2021-01-08 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of fabrication thereof
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11791387B2 (en) 2021-04-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with backside via and methods thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764376B (zh) * 2020-11-17 2022-05-11 台灣積體電路製造股份有限公司 檢測結構及其製造方法,利用檢測結構的半導體結構檢測方法
TWI824373B (zh) * 2021-01-27 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TWI815432B (zh) * 2021-05-11 2023-09-11 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法

Also Published As

Publication number Publication date
US11637186B2 (en) 2023-04-25
US20200161439A1 (en) 2020-05-21
US20230282720A1 (en) 2023-09-07
CN111200012A (zh) 2020-05-26

Similar Documents

Publication Publication Date Title
US11637186B2 (en) Field effect transistor having gate contact and source/drain contact separated by a gap
US10770358B2 (en) Semiconductor device and manufacturing method thereof
US11063045B2 (en) Semiconductor device and method of manufacturing the same
KR102622311B1 (ko) 멀티 게이트 디바이스 및 관련 방법
US11605736B2 (en) Low-capacitance structures and processes
TWI804735B (zh) 半導體裝置及其製造方法
TW202127664A (zh) 積體電路裝置
US11171053B2 (en) Transistor device and related methods
US11728411B2 (en) Stacked gate spacers
TW201926685A (zh) 半導體裝置及其製造方法
US20210376116A1 (en) Method of manufacturing a semiconductor device and semiconductor device
US20230253406A1 (en) Semiconductor device and method of manufacturing the same
TW202029460A (zh) 半導體裝置的製造方法
US11791401B2 (en) Multi-gate device and related methods
US20220359736A1 (en) Forming 3D Transistors Using 2D Van Der WAALS Materials