TW202127664A - 積體電路裝置 - Google Patents

積體電路裝置 Download PDF

Info

Publication number
TW202127664A
TW202127664A TW109131262A TW109131262A TW202127664A TW 202127664 A TW202127664 A TW 202127664A TW 109131262 A TW109131262 A TW 109131262A TW 109131262 A TW109131262 A TW 109131262A TW 202127664 A TW202127664 A TW 202127664A
Authority
TW
Taiwan
Prior art keywords
gate
semiconductor layer
layer
spacer
dielectric
Prior art date
Application number
TW109131262A
Other languages
English (en)
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202127664A publication Critical patent/TW202127664A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭露提供一種積體電路(IC)裝置,包括:具有頂表面的半導體基板;設置在半導體基板上的第一源極/汲極特徵和第二源極/汲極特徵;以及包括第一半導體層和第二半導體層的複數半導體層。第一半導體層和第二半導體層之每一者在第一方向上縱向延伸並連接第一源極/汲極特徵和第二源極/汲極特徵。第一半導體層在垂直於第一方向的第二方向上堆疊在第二半導體層上方。第一半導體層沿著第一方向的長度小於第二半導體層沿著第一方向的長度。積體電路裝置更包括接合第一半導體層的中心部分和第二半導體層的中心部分的閘極結構。

Description

積體電路裝置
本揭露係關於一種積體電路裝置,特別是具有沒有空隙(void)的源極/汲極區、在窄通道-通道空間中的大形成餘量/窗口、以及減小的寄生電容的積體電路裝置。
半導體積體電路(integrated circuit;IC)工業呈指數成長。在IC材料及IC設計的技術進步產生多個IC世代,每一個IC世代比上一個IC世代有更小及更複雜的電路。在IC發展過程中,功能密度(例如:每一晶片區域的相連元件數量)通常都會增加,而幾何尺寸(即製程可作出之最小部件(或線路))會下降。此微縮過程通常藉由增加生產效率及降低相關成本提供了優勢。這種微縮還增加了處理和製造IC的複雜性,並且要實現這些進步,需要在IC處理和製造中的相似發展。
舉例來說,已經引入了多閘極裝置,以藉由增加閘極-通道耦合、減小截止狀態電流(off-state current)以及減小短通道效應(short-channel effect;SCE)來改善閘極控制。一種這樣的多閘極裝置是環繞式閘極(gate-all-around;GAA)電晶體,其閘極結構延伸圍繞其通道區,從而提供對所有側面上的通道區的訪問。這種GAA電晶體與習知互補式金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)製程相容,允許它們在保持閘極控制和減輕SCE的同時積極微縮尺寸。然而,用於GAA裝置的習知方法可能會經歷挑戰,包括在源極/汲極區中磊晶成長不良、在窄通道-通道空間(channel-channel space)中的用於閘極介電質和電極的小形成餘量(small formation margin)、以及相鄰導電區(例如源極/汲極區和主動閘極結構)之間的電容增加,尤其是裝置尺寸微縮時。因此,儘管習知GAA裝置通常已足以滿足其預期目的,但它們並不是在每個方面都完全令人滿意。
本揭露提供一種積體電路裝置。積體電路裝置包括半導體基板、第一源極/汲極特徵和第二源極/汲極特徵、複數半導體層、閘極結構。半導體基板具有頂表面。第一源極/汲極特徵和第二源極/汲極特徵設置在半導體基板上。半導體層包括第一半導體層和第二半導體層。第一半導體層在第一方向上縱向延伸並連接第一源極/汲極特徵和第二源極/汲極特徵。第二半導體層在第一方向上縱向延伸並連接第一源極/汲極特徵和第二源極/汲極特徵。第一半導體層在垂直於第一方向的第二方向上堆疊在第二半導體層上方並且與第二半導體層間隔。第二方向垂直於半導體基板的頂表面。閘極結構接合第一半導體層的中心部分和第二半導體層的中心部分。第一半導體層沿著第一方向的長度小於第二半導體層沿著第一方向的長度。
本揭露提供一種積體電路裝置。積體電路裝置包括半導體基板、第一源極/汲極特徵和第二源極/汲極特徵、複數半導體層、閘極電極、第一間隔物、第二間隔物。半導體基板具有頂表面。第一源極/汲極特徵和第二源極/汲極特徵設置在半導體基板上。半導體層沿著第一方向從第一源極/汲極特徵延伸到第二源極/汲極特徵。半導體層沿著垂直於頂表面且垂直於第一方向的第二方向彼此堆疊。半導體層之每一者具有中心部分和與中心部分橫向相鄰的兩個側部。閘極電極接合半導體層之每一者的中心部分。第一間隔物在半導體層的最頂半導體層的兩個側部上方。第二間隔物沿著第二方向在半導體層的垂直相鄰的複數側部之間。第一間隔物包括具有第一介電常數的第一介電材料。第二間隔物包括具有不同於第一介電常數的第二介電常數的第二介電材料。沿著第一方向測量的最頂半導體層的長度小於最頂部半導體層垂直下方的半導體層之每一者的長度。
本揭露提供一種積體電路裝置之製造方法。積體電路裝置之製造方法包括在半導體基板上方形成包括複數第一半導體層和複數第二半導體層的堆疊,其中第一半導體層和第二半導體層具有不同的材料組成,並且在堆疊內彼此交替;在堆疊上方形成冗餘閘極結構,其中冗餘閘極結構包裹堆疊的頂表面和複數側表面;在冗餘閘極結構的複數側壁上形成複數第一間隔物,第一間隔物設置在堆疊的頂表面上;在堆疊中形成第一錐形溝槽和第二錐形溝槽,以暴露半導體基板的頂表面;在第一錐形溝槽中形成第一源極/汲極特徵,並且在第二錐形溝槽中形成第二源極/汲極特徵;從堆疊的頂表面和側表面移除冗餘閘極結構;以及移除第二半導體層,使得第一半導體層保留並形成將第一源極/汲極特徵和第二源極/汲極特徵彼此連接的複數半導體片,其中在平行於半導體基板的頂表面的方向上測量的最頂第一半導體層的長度小於最底第一半導體層的長度。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清楚的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
此外,當數字或數字範圍以“約”、“近似”等描述時,該術語旨在涵蓋包括所述數量的合理範圍內的數量,例如+/-10%內的數值或本技術領域中具有通常知識者理解的其他數值。舉例來說,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
已經引入了多閘極裝置(例如:環繞式閘極(GAA)裝置),以藉由增加閘極-通道耦合、減小截止狀態電流以及減小短通道效應(SCE)來改善閘極控制。可以在保持閘極控制和減輕SCE的同時積極微縮GAA裝置的尺寸。然而,用於GAA裝置的習知方法可能會經歷挑戰,包括在源極/汲極區中磊晶成長不良、在窄通道-通道空間中的用於閘極介電質和電極的小形成餘量、以及相鄰導電區(例如源極/汲極區和主動閘極結構)之間的電容增加。隨著裝置尺寸的微縮,這些缺點更加嚴重。
本揭露通常涉及IC和半導體裝置及其形成方法。更具體來說,本揭露涉及GAA裝置。GAA裝置包括具有其閘極結構(或其一部分)形成圍繞通道區的所有側面(例如:圍繞通道區的一部分)的任何裝置。在一些情況下,GAA裝置也可以稱為四閘極裝置,其中通道區具有四個側面,並且閘極結構形成在所有四個側面上。GAA裝置的通道區可以包括一或多個半導體層,每一個半導體層可以是許多不同形狀中的一種,例如導線(或奈米線)、薄片(或奈米片)、條棒(或奈米棒)及/或其他合適形狀。在實施例中,GAA裝置的通道區可以具有垂直間隔的多個水平半導體層(例如奈米線、奈米片或奈米棒) (以下統稱為“奈米通道”),使GAA裝置成為堆疊的水平GAA裝置。此處表示的GAA裝置可以是互補式金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)GAA裝置、P型金屬氧化物半導體(p-type metal-oxide-semiconductor;PMOS)GAA裝置或N型金屬氧化物半導體(n-type metal-oxide-semiconductor;NMOS)GAA裝置。此外,GAA裝置可以具有與單一、連續的閘極結構或多個閘極結構相關的一或多個通道區。本技術領域中具有通常知識者能夠認識到可以從本揭露的方面中受益的半導體裝置的其他實施例。舉例來說,其他類型的金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors;MOSFET),例如平面MOSFET、鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)、其他多閘極FET可以從本揭露中受益。在本揭露中的GAA裝置和製造方法表現出期望的特性,示例是:(1)自底向上磊晶成長製程(bottom-up epitaxial growth process),其形成沒有空隙(void)的源極/汲極區;(2)在窄通道-通道空間中的用於閘極介電質和電極的大形成餘量/窗口;(3)減小的源極/汲極區和相鄰的主動閘極結構之間的電容。
在所示的實施例中,IC裝置包括GAA裝置100。可以在IC(或其一部分)的製程期間製造GAA裝置100,其可以包括靜態隨機存取記憶體(static random access memory;SRAM)及/或邏輯電路、被動部件(例如電阻、電容以及電感)以及主動部件(例如P型場效電晶體(p-type field effect transistor;PFET)、N型FET(n-type FET;NFET)、FinFET、MOSFET、CMOS、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶體單元及其組合)。
第1A圖至第1C圖是根據本揭露實施例之用於製造本揭露的GAA裝置的實施例的方法的流程圖。第2A圖至第27A圖是根據本揭露實施例之在各種製造站點中構建的本揭露的GAA裝置的實施例的俯視圖。第2B圖至第27B圖、第2C圖至第27C圖以及第2D圖至第27D圖是根據本揭露實施例之個別沿著第2A圖至第27A圖中的線段A-A’、B-B’以及C-C’的本揭露的GAA裝置的實施例的剖面圖。
參照第1A圖的操作810和第2A圖至第2D圖,GAA裝置100包括基板200。在一些實施例中,基板200包含半導體材料,例如塊體矽(bulk silicon)(Si)。替代地或附加地,基板200中還可以包括另一元素半導體,例如晶體結構中的鍺(Ge)。基板200還可以包括化合物半導體,例如矽鍺(SiGe)、碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb)或其組合。基板200還可以包括絕緣體上半導體基板,例如絕緣體上矽(Si-on-insulator;SOI) 、絕緣體上矽鍺(SiGe-on-insulator;SGOI)、絕緣體上鍺(Ge-on-insulator;GOI)基板。可以摻雜基板200的複數部分,例如摻雜部分205。摻雜部分205可以摻雜有P型摻雜物,例如硼(B)或氟化硼(BF3),或者摻雜有N型摻雜物,例如磷(P)或砷(As)。摻雜部分205也可以摻雜有P型和N型摻雜物的組合(例如:以形成P型井和相鄰的N型井)。摻雜部分205可以直接以P井結構、N井結構、雙井結構或使用凸起結構(raised structure)形成在基板200上。
參照第1A圖的操作820和第2A圖至第2D圖,半導體層220A和220B的堆疊以交錯或交替的方式形成在基板200上方,並且從基板200垂直(例如:沿著Z方向)延伸。舉例來說,半導體層220B設置在基板200上方,半導體層220A設置在半導體層220B上方,另一半導體層220B設置在半導體層220A上方,依此類推。在所示的實施例中,存在彼此之間交替的三層半導體層220A和三層半導體層220B。然而,堆疊中可以有任何適當數量的層。舉例來說,在堆疊中可以存在2至10層半導體層220A與2至10層半導體層220B交替。導體層220A和220B的材料組成被配置為使得它們在後續的蝕刻製程中具有蝕刻選擇性。舉例來說,在一些實施例中,半導體層220A包含矽鍺(SiGe),而半導體層220B包含矽(Si)。在一些其他實施例中,半導體層220B包含矽鍺(SiGe),而半導體層220A包含矽(Si)。在所示的實施例中,每一個半導體層220A具有大抵均勻的厚度(在第2B圖中描繪為厚度300),而每一個半導體層220B具有大抵均勻的厚度(在第2B圖中描繪為厚度310)。
參照第1A圖的操作820和第3A圖至第3D圖,將半導體層220A和220B的堆疊圖案化成為複數鰭片結構,例如成為鰭片 (或鰭片結構)130a和130b。鰭片130a和130b中的每一者包括以彼此交替的方式設置的半導體層220A和220B的堆疊。鰭片130a和130b各自在第一方向(例如:在Y方向上)縱長(例如:縱向)延伸,並且在第二方向(例如:在X方向上)彼此分開(例如:橫向地),如第3A圖和第3D圖所示。如地3A圖所示,鰭片各自可具有沿著X方向的橫向寬度,在第3A圖中顯示為寬度350。應理解X方向和Y方向是彼此垂直的水平方向,並且Z方向是與由X方向和Y方向定義的平面正交(或垂直)的垂直方向。基板200可以使其頂表面平行於XY平面對準。
鰭片130a和130b可以藉由任何合適方法來圖案化。舉例來說,可以使用一或多種微影製程來圖案化鰭片,包括雙重圖案化或多重圖案化製程。通常來說,雙重圖案化或多重圖案化製程將微影和自我對準製程相結合,從而允許創建具有間距小於使用單一、直接微影製程可獲得的間距的圖案。舉例來說,在一個實施例中,在基板上方形成犧牲層,並且使用微影製程圖案化犧牲層。使用自我對準製程在圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,並接著可以使用剩餘的間隔物或心軸來圖案化鰭片。圖案化可以利用多種蝕刻製程,其可以包括乾式蝕刻及/或濕式蝕刻。形成鰭片的區域將用於透過後續處理形成主動裝置,因此被稱為主動區。舉例來說,鰭片130a形成在主動區202a中,並且鰭片130b形成在主動區202b中。鰭片130a和130b皆從摻雜部分205中凸出。
環繞式閘極裝置100包括隔離特徵203,其可以是淺溝槽隔離(shallow trench isolation;STI)特徵。在一些實施例中,隔離特徵203的形成包括將溝槽蝕刻到主動區之間的基板200中,並且使用一或多種介電材料(例如氧化矽、氮化矽、氮氧化矽、其他合適材料或其組合)填充溝槽。任何適當的方法,例如化學氣相沉積(chemical vapor deposition;CVD)製程、原子層沉積(atomic layer deposition;ALD)製程、物理氣相沉積(physical vapor deposition;PVD)製程、電漿輔助CVD(plasma-enhanced CVD;PECVD)製程、電漿輔助ALD(plasma-enhanced ALD;PEALD)製程及/或其組合可以用於沉積隔離特徵203。隔離特徵203可具有多層結構,例如基板200上方的熱氧化物襯墊層和熱氧化物襯墊層上方的填充層(例如:氮化矽或氧化矽)。替代地,可以使用任何其他隔離形成技術來形成隔離特徵203。如第3D圖所示,鰭片130a和130b位在隔離特徵203的頂表面203a上方(例如:從隔離特徵203凸出),並且還位在基板200的頂表面200a上方。
參照第1A圖的操作830和第4A圖至第4D圖,在每一個鰭片130a和130b的一部分上方和在鰭片130a和130b之間的隔離特徵203上形成冗餘閘極結構210。冗餘閘極結構210可以被配置以彼此平行地縱長(例如:縱向)延伸,例如每一者沿著X方向,如第4A圖所示。在一些實施例中,如第4D圖所示,每一個冗餘閘極結構包裹(wrap around)每一個鰭片130a、130b的頂表面和側表面。冗餘閘極結構210可以包括多晶矽。在一些實施例中,冗餘閘極結構210還包括一或多個罩幕層,其用於圖案化冗餘閘極電極層。冗餘閘極結構210可以透過後續的製程經歷閘極替換製程,以形成金屬閘極,例如高k金屬閘極,如下面更詳細的討論。一些冗餘閘極結構210還可以經歷第二閘極替換製程以形成基於介電質的閘極(dielectric based gate),其將GAA裝置100與相鄰裝置電性隔離,亦如下面更詳細的討論。冗餘閘極結構210可以藉由包括沉積、微影圖案化以及蝕刻製程的程序來形成。沉積製程可以包括CVD、ALD、PVD、其他合適方法及/或其組合。
參照第1A圖的操作840和第5A圖至第5D圖,在冗餘閘極結構210的側壁上形成閘極間隔物240。閘極間隔物240可以包括氮化矽(Si3 N4 )、氧化矽(SiO2 )、碳化矽(SiC)、碳氧化矽(SiOC)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、碳摻雜的氧化物、氮摻雜的氧化物、多孔氧化物(porous oxide)或其組合。閘極間隔物240可以包括單層或多層結構。在一些實施例中,每一個閘極間隔物240可以具有在約3nm至約10nm的範圍內的厚度241(例如:沿著Y方向測量)。對於裝置效能,尤其是對於先進技術節點,可能需要在規定值範圍內的厚度。在一些實施例中,可以藉由在冗餘閘極結構210上沉積間隔物層(包含介電材料),接著進行非等向性蝕刻製程以從冗餘閘極結構210的頂表面移除間隔物層的一部分來形成閘極間隔物240。在蝕刻製程之後,在冗餘閘極結構210的側壁表面上的間隔物層的部分大抵保留並變為閘極間隔物240。在一些實施例中,非等向性蝕刻製程是乾式(例如:電漿)蝕刻製程。附加地或替代地,閘極間隔物240的形成還可以涉及化學氧化、熱氧化、ALD、CVD及/或其他合適方法。在主動區中,閘極間隔物240形成在半導體層220A的頂層上方。因此,閘極間隔物240也可以可互換地稱為頂部間隔物240。在一些實施例中,還可以在冗餘閘極結構210和對應的頂部間隔物240之間形成一或多個材料層(未顯示)。作為實施例,一或多個材料層可以包括界面層及/或高k介電層。
參照第1A圖的操作850和第6A圖至第6D圖,由冗餘閘極結構210和閘極間隔物240暴露的鰭片130a和130b的部分至少部分地被凹陷(或被蝕刻掉),以形成用於後續的磊晶源極和汲極成長的錐形溝槽151。如下面更詳細的描述,錐形溝槽151的逐漸變細是所提製程的故意特徵,其效果是有效的磊晶成長製程,其防止在後續形成的源極/汲極區中引起空隙。實際上,錐形溝槽151導致自底向上磊晶成長製程,其順應性地(conformally)填充了錐形溝槽151。錐形溝槽151的形成暴露半導體層220A和220B的堆疊的側壁。在所示的實施例中,由錐形溝槽151的側壁151w和基板的頂表面200a對向的銳角α可以在約80度至約88度(例如:約85度)的範圍內。在第6A圖至第6D圖所示的實施例中,錐形溝槽151的底部151a與基板200的頂表面200a大抵對準(例如:大抵共平面)。替代地,在一些其他實施例中(未顯示),凹陷製程僅移除了一些而不是全部的半導體層220A和220B。換句話說,錐形溝槽151的底部151a位在基板200的頂表面200a的上方(例如:在Z方向上)。在又一些其他實施例中(未顯示),凹陷製程不僅可以移除暴露的鰭片130a和130b,而且可以移除下面的基板200的摻雜區205的一部分。換句話說,在這樣的實施例中,錐形溝槽151的底部151a可以位在基板200的頂表面下方(例如:在Z方向上)。
在所示的實施例中(例如:如第6B圖所示),剩餘的半導體層220A和220B的堆疊包括兩個區域,在冗餘閘極結構210垂直下方的第一區域(稱為“中心部分”),以及在頂部間隔物240垂直下方的第二區域(稱為“側部”)。因此,冗餘閘極結構210垂直下方的半導體層220A的部分被稱為中心部分220A-center;而在頂部間隔物240垂直下方並且向著錐形溝槽151橫向延伸的半導體層220A的部分被稱為側部220A-side。相似地,冗餘閘極結構210垂直下方的半導體層220B的部分被稱為中心部分220B-center;而在頂部間隔物240垂直下方並且向著錐形溝槽151橫向延伸的半導體層220B的部分被稱為側部220B-side。
用於形成錐形溝槽151的製程可以包括多個微影和蝕刻步驟,並且可以使用任何合適方法,例如乾式蝕刻及/或濕式蝕刻。作為示例,用於形成錐形溝槽151的多個微影和蝕刻步驟中的一或多個可包括具有第一蝕刻化學物質的第一蝕刻製程和具有與第一蝕刻化學物質不同的第二蝕刻化學物質的第二蝕刻製程。第一蝕刻製程可以是主蝕刻製程(main-etch process),其最初在半導體層220A和220B的堆疊中形成開口,而第二蝕刻製程可以是過蝕刻製程(over-etch process),其對初始形成的開口塑形以產生在錐形溝槽151中觀察到的錐形輪廓。第一蝕刻化學物質可包括與氬氣(Ar)、氦氣(He)、氧氣(O2 )或其組合結合的溴化氫(HBr)。第二蝕刻化學物質可以包括與氮氣、甲烷(CH4 )或其組合結合的溴化氫(HBr)。第二蝕刻製程(例如:過蝕刻製程)可以在高偏壓功率(例如:在約150瓦至約600瓦的範圍內的偏壓功率)下執行。
參照第1A圖的操作860和第7A圖至第7D圖,透過選擇性蝕刻製程通過錐形溝槽151中的暴露的側壁表面移除半導體層220B的一部分。選擇性蝕刻製程可以是任何合適製程,例如濕式蝕刻或乾式蝕刻製程。半導體層220B凹陷的程度(或移除的部分的大小)由半導體層220B暴露於蝕刻化學物質的持續時間的製程條件來確定。在所示的實施例中,持續時間被控制以使得側部220B-side整體上被移除,而中心部分220B-center大抵上保持不變。換句話說,半導體層220B的剩餘部分各自具有與冗餘閘極結構210的側壁大抵對準的側壁(例如:在XZ平面(由X方向和Z方向定義)中的側壁)。如第7B圖所示,選擇性蝕刻製程產生開口161,其將錐形溝槽151延伸到半導體層220A和頂部間隔物240下方的區域中。在第1A圖的操作860中,開口161被稱為“第一間隙(gap)”。
同時,在選擇性蝕刻製程期間,僅輕微地影響半導體層220A。舉例來說,在選擇性蝕刻製程之前,側部220A-side各自具有厚度300,並且側部220B-side各自具有厚度310(見第2B圖)。在選擇性蝕刻製程之後,側部220A-side具有厚度305,並且開口161具有高度315(或可互換地稱為厚度315)。厚度305僅略小於厚度300,而厚度315僅略大於厚度310。舉例來說,厚度305可以比厚度300小約1%至10%;並且厚度315可以比厚度310大約1%至10%。半導體層220A和220B之間的蝕刻選擇性藉由這些層之間的不同材料組成而成為可能。舉例來說,可以以比半導體層220A實質上更快的速率(例如:快約5倍至約10倍)蝕刻掉半導體層220B。
如上面所述,選擇性蝕刻製程可以是濕式蝕刻製程。在一個實施例中,半導體層220A包括矽(Si),並且半導體層220B包括矽鍺(SiGe)。在這樣的實施例中,標準清潔1(Standard Clean 1;SC-1)溶液可以用於選擇性蝕刻掉矽鍺(SiGe)的半導體層220B。舉例來說,可以以比矽(Si)的半導體層220A實質上更快的速率蝕刻掉矽鍺(SiGe)的半導體層220B。結果,移除了半導體層220B的期望部分(例如:側部220B-side),而半導體層220A大抵保持不變。SC-1溶液包括氫氧化氨(NH4 OH)、過氧化氫(H2 O2 )以及水(H2 O)。調整蝕刻持續時間,以控制矽鍺(SiGe)層的移除部分的尺寸。可以藉由額外調整蝕刻溫度、摻雜物濃度以及其他實驗參數來達到最佳條件。
在另一個實施例中,半導體層220A包括矽鍺(SiGe),並且半導體層220B包括矽(Si)。在這樣的實施例中,低溫深反應離子蝕刻(deep reactive ion etching;DRIE)製程可以用於選擇性地蝕刻掉矽(Si)的半導體層220B。舉例來說,DRIE製程可以實施六氟化硫-氧氣(SF6 -O2 )電漿。可以藉由調整蝕刻溫度、感應耦合電漿(Inductively Coupled Plasma;ICP)電源及/或射頻(Radio Frequency;RF)電源的功率、六氟化硫(SF6 )濃度與氧氣(O2 )濃度之間的比率、摻雜物(例如硼)濃度以及其他實驗參數來達到最佳條件。舉例來說,在約80℃的溫度下,使用六氟化硫-氧氣(SF6 -O2 )電漿(具有約6%的氧氣(O2 ))的矽(Si)的半導體層220B的蝕刻速率可以超過約8μm/min;而在該製程中,矽鍺(SiGe)的半導體層220A大抵上不受影響。
參照第1A圖的操作870和第8A圖至第8D圖,介電材料248沉積在錐形溝槽151和開口161中。介電材料248可以選自氧化矽(SiO2 )、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)或其組合。在一些實施例中,介電材料248的適當選擇可以基於其介電常數。在一個實施例中,此介電材料248的介電常數可以低於頂部間隔物240的介電常數。在一些其他實施例中,此介電材料248的介電常數可以高於頂部間隔物240的介電常數。此介電材料248的方面將在後面進一步討論。介電材料248的沉積可以是任何合適方法,例如CVD、PVD、PECVD、有機金屬化學氣相沉積(Metal-organic Chemical Vapor Deposition;MOCVD)、ALD、PEALD或其組合。可以執行化學機械研磨(chemical-mechanical polishing;CMP)製程以平坦化GAA裝置100的頂表面,並且暴露冗餘閘極結構210的頂表面。在第8A圖至第8D圖所示的操作中,介電材料248完全填充錐形溝槽151和開口161。
參照第1A圖的操作880和第9A圖至第9D圖,回蝕介電材料248,使基板200的頂表面200a暴露。在所示的實施例中,回蝕是自我對準非等向性乾式蝕刻製程,使得頂部間隔物240用作罩幕元件。替代地,可以使用不同的罩幕元件(例如光阻)。回蝕製程可以與上面參照第6A圖至第6D圖所述的製程相似,其中描述了錐形溝槽151的形成。回蝕製程移除了錐形溝槽151內的介電材料248,但是大抵上不影響開口161內的介電材料248。結果,填充開口161的介電材料248成為內部間隔物250。換句話說,內部間隔物250形成在半導體層220A的垂直相鄰(例如:沿著Z方向)的側部220A-side之間(見第9B圖)。在本實施例中,內部間隔物250僅存在於主動區中。如第9C圖所示,在隔離特徵203上方不存在內部間隔物250。而是在隔離特徵203上方僅存在頂部間隔物240。如第9B圖所示,內部間隔物250的側壁表面、頂部間隔物240的側壁表面以及半導體層220A的側表面形成連續側壁表面171。換句話說,連續側壁表面171包括半導體層220A的半導體材料的暴露側表面和頂部間隔物240和內部間隔物250的介電材料的暴露側表面。此外,由於連續側壁表面171的側壁的錐形輪廓,半導體層220A的水平相鄰部分之間的距離(例如:沿著Y方向)從錐形溝槽151的口到錐形溝槽151的底部151a減小。舉例來說,在第9B圖中,在錐形溝槽151的口的半導體層220A的水平相鄰部分之間的距離D3大於在錐形溝槽151的中部的半導體層220A的水平相鄰部分之間的距離D2。相似地,距離D2大於靠近錐形溝槽151的底部151a的半導體層220A的水平相鄰部分之間的距離D1。
參照第1B圖的操作890和第10A圖至第10D圖,方法800繼續在錐形溝槽151中形成磊晶源極/汲極特徵208。在一些實施例中,一個源極/汲極特徵是源極電極,而另一源極/汲極特徵是汲極電極。從一個磊晶源極/汲極特徵208延伸到另一磊晶源極/汲極特徵208的半導體層220A可以形成GAA裝置100的通道。可以採用包括蝕刻和成長製程的多個製程來成長磊晶源極/汲極特徵208。在所示的實施例中,磊晶源極/汲極特徵208具有與最頂的半導體層220A的頂表面大抵對準的頂表面。然而,在其他實施例中,磊晶源極/汲極特徵208可替代地具有延伸得比最頂的半導體層220A的頂表面高(例如:沿著Z方向)的頂表面。在所示的實施例中,磊晶源極/汲極特徵208佔據錐形溝槽151的下部(例如:由內部間隔物250和半導體層220A定義的部分),留下錐形溝槽151的上部(例如:由頂部間隔物240定義的部分)敞開。在一些實施例中,磊晶源極/汲極特徵208可以沿著X方向合併在一起,以提供比單一磊晶特徵更大的橫向寬度。在所示的實施例中,如第10A圖所示,磊晶源極/汲極特徵208不被合併。
磊晶源極/汲極特徵208可以包括任何合適半導體材料。舉例來說,N型GAA裝置中的磊晶源極/汲極特徵208可以包括矽(Si)、碳化矽(SiC)、矽磷(SiP)、矽砷(SiAs)、矽磷碳(SiPC)或其組合;而P型GAA裝置中的磊晶源極/汲極特徵208可以包括矽(Si)、矽鍺(SiGe)、鍺(Ge)、矽鍺碳(SiGeC)或其組合。磊晶源極/汲極特徵可以被原位(in-situ)或非原位(ex-situ)摻雜。磊晶成長的矽(Si)源極/汲極特徵可以摻雜碳以形成矽:碳(Si:C)源極/汲極特徵、摻雜磷以形成矽:磷(Si:P)源極/汲極特徵、摻雜碳和磷以形成矽碳磷(SiCP)源極/汲極特徵;以及磊晶成長的矽鍺(SiGe)源極/汲極特徵可以摻雜硼。可以執行一或多種退火製程以激活磊晶源極/汲極特徵208中的摻雜物。退火製程可以包括快速熱退火(rapid thermal annealing;RTA)及/或雷射退火製程。
磊晶源極/汲極特徵208直接與連續側壁表面171交界。在磊晶成長期間,半導體材料從基板200的暴露的頂表面200a(例如:摻雜區205的暴露的頂表面)以及半導體層220A的暴露的側表面成長。值得注意的式,在磊晶成長製程期間,半導體材料不從內部間隔物250和頂部間隔物240的表面成長。由於半導體層220A的水平相鄰部分之間的距離從溝槽151的口到溝槽151的底部151a減小,所以磊晶成長製程在填滿錐形溝槽151的頂部之前填滿了錐形溝槽151的底部。因此,錐形溝槽151的錐形輪廓使磊晶成長製程成為填充錐形溝槽151的自底向上的順應性磊晶成長製程,從而防止在磊晶源極/汲極特徵208中形成空隙。
參照第1B圖的操作900和第11A圖至第11D圖,在錐形溝槽151的剩餘空間中的磊晶源極/汲極特徵208上方以及隔離特徵203垂直上方形成層間介電(interlayer dielectric;ILD)層214。ILD層214還可以沿著Y方向形成在相鄰的冗餘閘極結構210之間,並且沿著X方向形成在磊晶源極/汲極特徵208之間。ILD層214可以包括介電材料,例如高k材料、低k材料或極低k材料。舉例來說,ILD層214可以包括氧化矽(SiO2 )、氮氧化矽(SiOC)、氮氧化矽(SiON)或其組合。ILD層214可以包括單層或多層,並且可以藉由合適技術形成,例如CVD、ALD及/或旋塗技術。在形成ILD層214之後,可以執行CMP製程以移除ILD層214的多餘部分,從而平坦化ILD層214的頂表面。在其他功能中,ILD層214在GAA裝置100的各種部件之間提供電性隔離。
參照第1B圖的操作910和第12A圖至第12D圖,透過任何合適微影和蝕刻製程來選擇性地移除冗餘閘極結構210。在一些實施例中,微影製程可以包括形成光阻層(光阻)、將光阻曝光於圖案、執行曝光後烘烤製程以及顯影光阻以形成罩幕元件,其暴露包括冗餘閘極結構210的區域。接著,透過罩幕元件選擇性地蝕刻冗餘閘極結構210。在一些其他實施例中,頂部間隔物240可以用作罩幕元件或其一部分。舉例來說,冗餘閘極結構210可以包括多晶矽,頂部間隔物240和內部間隔物250可以包括介電材料,並且中心部分220A-center包括半導體材料。因此,可以藉由選擇適當的蝕刻化學物質來實現蝕刻選擇性,使得可以在大抵不影響GAA裝置100的特徵的情況下移除冗餘閘極結構210。冗餘閘極結構210的移除產生閘極溝槽153。閘極溝槽153暴露半導體層220A、220B的堆疊的頂表面和側表面,如第12D圖所示。換句話說,中心部分220A-center和220B-center至少在閘極溝槽153中的兩個側表面上暴露。另外,閘極溝槽153也暴露出隔離特徵203的頂表面。
參照第1B圖的操作920和第13A圖至第13D圖,還使用濕式或乾式蝕刻製程透過閘極溝槽153選擇性地移除任何剩餘的中心部分220B-center。選擇蝕刻化學品,使得中央部分220B-center相比中央部分220A-center和內部間隔物250具有足夠不同的蝕刻速率。結果,中心部分220A-center和內部間隔物250大抵保持不變。此選擇性蝕刻製程可以包括一或多個蝕刻步驟。
如第13A圖至第13D圖所示,在本實施例中,半導體層220B的移除形成了懸置的半導體層220A的中心部分220A-center和在垂直相鄰層之間(例如:在Z方向上)的開口157,從而暴露出中心部分220A-center的頂表面和底表面。現在每一個中心部分220A-center在XZ平面中周圍地暴露。另外,在中心部分220A-center下方的摻雜區205的部分也暴露在開口157中。然而,在一些其他實施例中,移除製程僅移除一些而不是全部的中心部分220B-center。
在第12A圖至第12D圖和第13A圖至第13D圖所示的實施例中,閘極溝槽153和垂直相鄰於閘極溝槽153(例如:在Z方向上)的開口157共同形成具有垂直輪廓的開口。換句話說,由閘極溝槽153及其對應的開口157共同形成的開口具有垂直側壁。在一些實施例中,可以藉由複數蝕刻製程來形成具有垂直側壁的這種開口。舉例來說,用於移除冗餘閘極結構210並從而形成閘極溝槽153(例如:在第12A圖至第12D圖中)的蝕刻製程的蝕刻化學物質可包括可以包括與氯(Cl2 )、四氟甲烷(CF4 )、氧或其組合結合的溴化氫(HBr)。此外,用於選擇性地移除半導體層220B並從而形成開口157的蝕刻製程(例如:在第13A圖至第13D圖中)可以具有初始蝕刻化學物質,其包括與氯(Cl2 )、氧或其組合結合的溴化氫(HBr)。在此初始蝕刻化學物質之後,接著使用後續蝕刻化學物質,包括與四氟甲烷(CF4 )、氧或其組合結合的溴化氫(HBr),其引起由閘極溝槽153及其對應的開口157共同形成的開口的垂直輪廓。如下面進一步的詳細描述,然而在其他實施例中,由閘極溝槽153及其對應的開口157共同形成的開口可以具有錐形輪廓。可以通過省略上述包括與四氟甲烷(CF4 )、氧或其組合結合的溴化氫(HBr)的後續蝕刻化學物質來實現這種錐形輪廓。在這樣的實施例中,後續形成在錐形開口中的閘極結構也具有錐形輪廓。
參照第1B圖的操作930和940以及第14A圖至第14D圖和第15A圖至第15D圖,形成閘極結構。閘極結構包括閘極介電層和設置在閘極介電層上方的閘極電極。舉例來說,閘極結構可以包括在氮氧化矽(SiON)閘極介電層上方的多晶矽閘極電極。作為另一實施例,閘極結構可以包括在高k介電層上方的金屬閘極電極。在一些情況下,難熔金屬層可以夾設在金屬閘極電極(例如鋁閘極電極)和高k介電層之間。作為又一個實施例,閘極結構可以包括矽化物。在所示的實施例中,閘極結構各自包括閘極介電層228和包括一或多個金屬層230、232的閘極電極。閘極介電層228形成在金屬層230、232和由半導體層220A形成的通道之間(例如:中心部分220A-center)。
在一些實施例中,閘極介電層228順應性地形成在GAA裝置100上(見第14A圖至第14D圖)。閘極介電層228至少部分地填充閘極溝槽153。在一些實施例中,在形成閘極介電層228之前,可以在半導體層220A的中心部分220A-center上方形成介電界面層。這樣的介電界面層改善了半導體層220A的中心部分220A- center與閘極介電層228之間的粘合。在本揭露中所示的實施例中,省略了這種介電界面層。替代的是,在所示的實施例中,在每一個半導體層220A的暴露表面周圍形成閘極介電層228,使得它們以360度包裹每一個半導體層220A的中心部分220A-center。另外,閘極介電層228也直接接觸內部間隔物250的垂直側壁和頂部間隔物240的垂直側壁。閘極介電層228可以包括具有介電常數大於癢氧化矽(SiO2 )的介電常數(其約為3.9)的介電材料。舉例來說,閘極介電層228可以包括氧化鉿(HfO2 ),其具有在約18至約40的範圍內的介電常數。作為各種其他實施例,閘極介電層228可以包括氧化鋯(ZrO2 )、氧化釔(Y2 O3 )、五氧化二鑭(La2 O5 )、五氧化二釓(Gd2 O5 )、二氧化鈦(TiO2 )、五氧化二鉭(Ta2 O5 )、氧化鉿鉺(HfErO)、氧化鉿鑭(HfLaO)、氧化鉿釔(HfYO)、氧化鉿釓(HfGdO)、氧化鉿鋁(HfAlO)、氧化鉿鋯(HfZrO)、氧化鉿鈦(HfTiO)、氧化鉿鉭(HfTaO)、氧化鍶鈦(SrTiO)或其組合。閘極介電層228可以藉由任何合適製程形成,例如CVD、PVD、ALD或其組合。
參照第1A圖的操作940和第15A圖至第15D圖,在閘極介電層228上方形成金屬層230、232,以填充閘極溝槽153的剩餘空間。金屬層230、232可以包括任何合適材料,例如氮化鈦(TiN)、氮化鉭(TaN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、鉭鋁 (TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、銅(Cu)、鈷(Co)、鎳(Ni)、鉑(Pt)或其組合。在一些實施例中,執行CMP以暴露ILD層214的頂表面。閘極介電層228和金屬層230共同形成閘極結構270,而閘極介電層228和金屬層232共同形成閘極結構272。閘極結構270、272中的每一者在中心部分220A-center內接合多層(例如:多個奈米通道)。
在一些實施例中,可以在閘極結構270、272上方可選地形成閘極頂部硬罩幕層260。舉例來說,參照第16A圖至第16D圖,金屬層230、232可以可選地被凹陷,使得金屬層230、232的頂表面延伸到ILD層214的頂表面下方。後續,如第17A圖至第17D圖所示,在GAA裝置100上方形成閘極頂部硬罩幕層260,使得其覆蓋閘極結構270、272(具體來說,金屬層230、232)、ILD層214 ,並且填充由凹陷製程創建的空間。可以進行CMP以平坦化閘極頂部硬罩幕層260的頂表面。在一些實施例中,如第18A圖至第18D圖所示,CMP暴露出ILD層214的頂表面、頂部間隔物240的頂表面以及閘極介電層228的頂表面。閘極頂部硬罩幕層260可以包括介電材料,例如氧化矽(SiO2 )、碳氧化矽(SiOC)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、基於氮化物的介電質、金屬氧化物介電質、氧化鉿(HfO2 )、五氧化二鉭(Ta2 O5 )、二氧化鈦(TiO2 )、氧化鋯(ZrO2 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )或其組合。閘極頂部硬罩幕層260在後續的蝕刻製程中保護閘極結構272以形成源極/汲極接點特徵(source/drain contact feature),並且還使閘極結構272絕緣。然而,在一些其他實施例中(未顯示出),省略了金屬層230、232的凹陷及/或閘極頂部硬罩幕層260的形成。
參照第1C圖的操作950和第19A圖至第19D圖,在GAA裝置100的頂表面上方形成罩幕層282(例如:光阻層)。罩幕層282可以覆蓋GAA裝置100的主體(或中心部分),但不覆蓋GAA裝置100的兩個端部283(沿著X方向)。參照第1C圖的操作960和第20A圖至第20D圖,後續進行端切割製程(end-cut process)。端切割製程形成端切割溝槽155,其將閘極結構270、272沿著X方向分成個別的閘極。個別的閘極可以僅在N型區上方(例如:用於NMOS閘極)延伸、僅在P型區上方(例如:用於PMOS閘極)延神或在N型區和P型區兩者上方延伸(例如:用於CMOS閘極)。端切割製程可以包括任何合適微影和蝕刻製程,使得端部283被向下蝕刻以暴露隔離特徵203。
參照第1C圖的操作970和第21A圖至第21D圖,將介電材料沉積到端切割溝槽155中,以形成閘極端介電特徵262,其從隔離特徵203的頂表面延伸,並且完全覆蓋閘極(例如閘極結構270、272)的端部。閘極端介電特徵262可以包括基於氮化物的介電材料(例如:氮化矽(Si3 N4 ))、金屬氧化物、氧化矽(SiO2 )或其組合。如下面進一步的詳細描述,用基於介電質的閘極替代閘極結構270的後續步驟在大抵不影響閘極端介電特徵262的情況下移除了頂部間隔物240和內部隔離物250。因此,在閘極端介電特徵262和間隔物層(即頂部間隔物240和內部間隔物250)之間需要足夠的蝕刻選擇性。舉例來說,頂部間隔物240和內部間隔物250在蝕刻化學物質中的蝕刻速率可以大抵比閘極端介電特徵262在相同溶液中的蝕刻速率快,例如快約5至50倍。蝕刻速率的這種差異是這些不同層中材料的不同特性的結果,其也可以表現為它們不同的介電常數。在許多實施例中,閘極端介電材料的介電常數可以高於頂部間隔物240和內部間隔物250的介電常數。舉例來說,閘極端介電特徵262可以包括介電常數大於約6.9至約7的介電材料。舉例來說,閘極端介電特徵262可以包括氮化物。氮化物可具有大於約7.8至約8.0的介電常數。另一方面,頂部間隔物240及/或內部隔離物250可以包括基於氧化物的介電材料。舉例來說,頂部間隔物240及/或內部間隔物250可以包括介電常數在約3.9至約5.0的範圍內的氧化物。舉另一例來說,頂部間隔物240及/或內部間隔物250可以包括摻雜的氧化物,例如氮摻雜的氧化物及/或碳摻雜的氧化物。氮摻雜的氧化物可具有在約4和約5之間的介電常數。碳摻雜的氧化物可具有在約3和約4之間的介電常數。在一些實施例中,閘極端介電特徵262可以包括單層。在一些其他實施例中,閘極端介電特徵262可以包括多層,例如氮化物層和氧化物層。
參照第1C圖的操作980和第22A圖至第22D圖,在GAA裝置100上方形成罩幕層284(例如光阻層)。在一個實施例中,罩幕層284覆蓋一或多個閘極結構272,但是不覆蓋一或多個其他閘極結構270。後續,參照第1C圖的操作990和第23A圖至第23D圖,透過任何合適製程移除暴露的閘極結構270以形成閘極溝槽159。結果,在閘極溝槽159中暴露出摻雜區205以及閘極結構270下方的隔離特徵203。使用罩幕層284作為罩幕元件,蝕刻製程可以是濕式蝕刻或乾式蝕刻製程。在所示的實施例中,蝕刻製程不僅移除了暴露的閘極結構270,而且移除了閘極介電層228、頂部間隔物240、內部間隔物250以及半導體層220A的一部分,並且使基板200的摻雜區205部分地凹陷。然而,在其他實施例中,可以省略閘極介電層228的移除及/或摻雜區205的凹陷。替代地或附加地,頂部間隔物240的側壁可以用作罩幕元件。
參照第1C圖的操作1000和第24A圖至第24D圖,用一或多種介電材料填充閘極溝槽159以形成基於介電質的閘極234。介電材料可以包括氧化矽(SiO2 )、碳氧化矽(SiOC)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、碳摻雜的氧化物、氮摻雜的氧化物、碳摻雜的和氮摻雜的氧化物、介電金屬氧化物(例如氧化鉿(HfO2 )、五氧化二鉭(Ta2 O5 )、二氧化鈦(TiO2 )、氧化鋯(ZrO2 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 ))、摻雜鑭(La)的氧化物、摻雜多種金屬的氧化物或其組合。基於介電質的閘極234可以包括單層或多層。形成製程可以使用任何合適製程,例如ALD、CVD、PVD、PEALD、PECVD或其組合。可以執行CMP製程以移除多餘的介電材料並且提供與ILD層214、頂部間隔物240以及閘極端介電特徵262大抵共平面的頂表面。
參照第1C圖的操作1010和第25A圖至第25D圖,在GAA裝置100上方形成閘極頂部介電層290。可以藉由任何合適製程(例如CVD、PECVD、流動式CVD(flowable CVD;FCVD)或其組合)來形成閘極頂部介電層290。閘極頂部介電層290覆蓋基於介電質的閘極234、ILD層214、頂部間隔物240、閘極結構272以及閘極頂部硬罩幕層260(如果存在)的頂表面。閘極頂部介電層290可以包括介電材料,例如氧化矽(SiO2 )、碳氧化矽(SiOC)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、基於氮化物的介電質、金屬氧化物介電質、氧化鉿(HfO2 )、五氧化二鉭(Ta2 O5 )、二氧化鈦(TiO2 )、氧化鋯(ZrO2 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )或其組合。閘極頂部介電層290可以具有在約3nm和約30nm之間的厚度。為了裝置效能(例如:以滿足電晶體開關速度要求),可能需要在規定值範圍內的厚度,特別是對於先進技術節點。在一些實施例中,閘極頂部介電層290在後續的蝕刻製程中保護閘極結構272,以形成源極/汲極接點特徵,並且還使閘極結構272絕緣。
參照第1C圖的操作1020和第26A圖至第26D圖,移除閘極頂部介電層290和ILD層214的一部分,以在磊晶源極/汲極特徵208上方形成接點孔(contact hole)278。可以使用任何適當方法來形成接點孔278,例如多個微影和蝕刻步驟。在一個實施例中,可以利用自我對準接點形成製程。舉例來說,ILD層214可以包括介電材料,其蝕刻速率大抵快於頂部間隔物240的蝕刻速率和閘極頂部硬罩幕層260的蝕刻速率。因此,當蝕刻掉ILD層214以形成接點孔278時,頂部間隔物240和閘極頂部硬罩幕層260大抵不受影響。由於頂部間隔物240和閘極頂部硬罩幕層260保護閘極結構272不受蝕刻化學物質的影響,因此保留了閘極結構272的完整性。接點孔278暴露出磊晶源極/汲極特徵208的頂表面,用於後續的接點層形成。另外,閘極頂部介電層290和閘極頂部硬罩幕層260(如果存在)的一部分也被移除,以在閘極結構272的金屬層232上方形成通孔285。通孔285暴露金屬層232以用於後續的通孔特徵形成。可以使用任何適當方法來形成通孔285,並且可以包括多個微影和蝕刻步驟。
參照第1C圖的操作1030和第27A圖至第27D圖,在接點孔278內形成接點特徵280。因此,接點特徵280被嵌入在閘極頂部介電層290和ILD層214內,並且將磊晶源極/汲極特徵208電性連接至外部導電特徵(未顯示)。另外,通孔特徵286也形成在通孔285中。因此,通孔特徵286被嵌入在閘極頂部介電層290內(以及在閘極頂部硬罩幕層260內(如果存在)),並且將閘極結構272電性連接至外部導電特徵(未顯示)。接點特徵280和通孔特徵286可以個別包括鈦(Ti)、氮化鈦(TiN)、氮化鉭(TaN)、鈷(Co)、釕(Ru)、鉑(Pt)、鎢(W)、鋁(Al)、銅(Cu)或其組合。可以使用任何合適方法來形成接點特徵280和通孔特徵286。在一些實施例中,在磊晶源極/汲極特徵208和接點特徵280之間形成額外特徵,例如自我對準矽化物特徵288。可以執行CMP製程以平坦化GAA裝置100的頂表面。
如上面所述,頂部間隔物240和內部間隔物250的介電常數可以不同。頂部間隔物或內部間隔物是否應使用介電常數較低的材料可能是一種設計選擇。舉例來說,可以基於不同裝置區的電容值的相對重要性之間的比較來進行設計選擇。舉例來說,設計者可以將具有較低介電常數的材料分配給頂部間隔物240而不是內部間隔物250。另一方面,如果在源極/汲極-金屬閘極區中具有較高的電容更為重要,則設計者可以將介電常數較低的材料分配給內部間隔物250而不是頂部間隔物240。
更具體地,頂部間隔物240可以被認為是一對垂直對準的導電板(即接點特徵280的側壁和閘極結構272的側壁)之間的電容的介電介質。相似地,內部間隔物250可以被認為是另一對垂直對準的導電板(即磊晶源極/汲極特徵208的側壁和閘極結構272的側壁)之間的另一個電容的介電介質。根據以下公式,電容與介電介質的介電常數成正比:
Figure 02_image001
其中C是電容的電容值、“ε”是介電介質的電容率(permittivity)、ε0 是真空的電容率、A是電容的面積、d是電容的分離距離、k是介電介質的介電常數。因此,較小的介電常數導致較小的電容值。根據設計需要,如果在接點-金屬閘極區(contact-to-metal gate region)中比在源極/汲極-金屬閘極區(source/drain-to-metal gate region)中具有更大的電容值更為重要,設計者可以將具有較低k的材料分配給頂部間隔物240,而不是內部間隔物250。另一方面,如果在源極/汲極-金屬閘極區中具有較高的電容值更為重要,則設計者可以將具有較低k的材料分配給內部間隔物250而不是頂部間隔物240。參照第1C圖的操作1040,還可以在閘極頂部介電層290上方及/或之內形成額外的層及/或特徵,以完成GAA裝置100的製造。
參照第27B圖和第27D圖,可以觀察到幾個結構特徵。首先第一,如第27B圖所示,由於磊晶源極/汲極特徵208的錐形側壁,形成GAA裝置100的通道(例如:奈米通道)的半導體層220A沿著Y方向具有不同的長度,這取決於半導體層220A在Z方向上的位置。舉例來說,在Z方向上最靠近基板200的半導體層220A具有沿著Y方向的長度L2,而在Z方向上離基板200最遠的半導體層220A具有沿著Y方向的長度L1,其中長度L2大於長度L1。在一些實施例中,長度L2比長度L1大至少0.5nm(例如:至少1nm)。為了裝置效能(例如:以滿足電晶體開關速度要求),可能需要在規定值範圍內的長度,特別是對於先進技術節點。
第二,如第27D圖所示,取決於半導體層220A在Z方向上的位置,形成GAA裝置100的通道(例如:奈米通道)的半導體層220A沿著X方向具有不同的寬度。舉例來說,在Z方向上最靠近基板200的半導體層220A具有沿著X方向的寬度W2,而在Z方向上離基板200最遠的半導體層220A具有沿著X方向的寬度W1,其中寬度W2大於寬度W1。在一些實施例中,寬度W2與寬度W1之間的差值在約0.5nm至約5nm的範圍內。寬度W1和W2中的每一者可以在約6nm至約50nm的範圍內。為了裝置效能(例如:以滿足電晶體開關速度要求),可能需要在規定值範圍內的寬度,特別是對於先進技術節點。
第三,形成GAA裝置100的通道(例如:奈米通道)的半導體層220A可以具有在Z方向上測量的個別厚度T。舉例來說,在第27D圖所示的實施例中,在Z方向上最靠近基板200的半導體層220A具有沿著Z方向的厚度T2,而在Z方向上離基板200最遠的半導體層220A具有沿著Z方向的厚度T1。厚度T1和T2可以大抵相等,厚度T1和T2中的每一者在約3nm至約10nm的範圍內。為了裝置效能(例如:以滿足電晶體開關速度要求),可能需要在規定值範圍內的厚度,特別是對於先進技術節點。
第四,如第27B圖所示,內部間隔物250以360度包裹形成GAA裝置100的通道(例如:奈米通道)的半導體層220A的側部220A-side。此外,閘極結構272(包括閘極介電層228和金屬層232)包裹形成GAA裝置100的通道的半導體層220A的中心部分220A-center。
第五,如第27C圖所示,GAA裝置100的非主動區沒有內部間隔物250。替代的是,頂部間隔物240延伸到隔離特徵203,並且閘極結構272(包括閘極介電層228和金屬層232)的側壁物理接觸頂部間隔物240。
以上製程流程描述了本揭露的一個實施例。在此實施例中,在形成閘極結構270、272之後形成基於介電質的閘極234。然而,在不脫離本揭露的範圍的情況下,還可以想到其他實施例。舉例來說,不是藉由移除閘極結構270並後續填充閘極溝槽來形成基於介電質的閘極234,可以替代地在形成閘極結構270、272之前形成基於介電質的閘極234。此替代實施例的額外詳細描述可以在相關專利中找到,例如Jhon Jhy Liaw的主題為“半導體裝置、半導體裝置佈局和製造半導體裝置的方法”的美國專利9,613,953、Jhon Jhy Liaw的主題為“製造半導體裝置的方法和半導體裝置”的美國專利9,805,985、以及Jhon Jhy Liaw的主題為“包括具有順應性介電層的金屬閘極擴散破壞結構的鰭式半導體裝置”的美國專利9,793,273。這些專利在此處併入本文。
在一種這樣的實施例中,在形成頂部間隔物240之後(例如:如第5A圖至第5D圖所示),可以在GAA裝置100上方形成ILD層304,如第28A圖至第28D圖所示。可以在ILD層304上方形成罩幕層384,以覆蓋除了要在其中形成基於介電質的閘極的區域之外的整個區域。後續,如第29A圖至第29D圖所示,可以使用蝕刻製程移除ILD層304的暴露部分以及ILD層304的暴露部分下方的冗餘閘極結構210。蝕刻製程還可移除冗餘閘極結構210下方的摻雜區205的一部分。此蝕刻製程形成基於介電質的閘極溝槽357,其與第23A圖至第23D所示的那些閘極溝槽159相似。接著可以移除罩幕層384(見第29A圖至第29D圖)。一旦形成了基於介電質的閘極溝槽357,就使用與上面用於基於介電質的閘極234所述的材料相似的介電材料來填充閘極溝槽357,以形成基於介電質的閘極334(見第30A圖至第30D圖)。該方法接著進行以執行CMP並蝕刻堆疊的一部分以形成源極/汲極溝槽351,與第6A圖至第6D所示的那些錐形溝槽151相似(見第31A圖至第31D圖)。後續的製程,例如第32A圖至第35A圖、第32B圖至第35B圖、第32C圖至第35C圖以及第32D圖至第35D圖所示的那些製程,可以以與第7A圖至第27A圖、第7B圖至第27B圖、第7C圖至第27C圖以及第7D圖至第27D圖所示的那些製程相似的方式進行。最終結構(見第35A圖至第35D圖)可以與第27A圖至第27D圖的結構相似。
如上面所述,在一些實施例中,閘極結構272可以具有錐形輪廓。在一種這樣的實施例中,在形成磊晶源極/汲極特徵208之後(例如:如第32A圖至第32D圖所示),透過合適微影和蝕刻製程選擇性地移除冗餘閘極結構210和半導體層220B(見第36A圖至第36D圖)。舉例來說,用於移除冗餘閘極結構210從而形成溝槽400的頂部(例如:在第36B圖中)的蝕刻製程的蝕刻化學物質可以包括與氯(Cl2 )、四氟甲烷(CF4 )、氧或其組合結合的溴化氫(HBr)。接著,蝕刻製程繼續進行以藉由使用包括與氯(Cl2 )、氧或其組合結合的溴化氫(HBr)選擇性地移除半導體層220B,以從而延伸溝槽400以暴露基板200的頂表面的一部分。蝕刻化學物質的這種組合的使用導致錐形的溝槽400,其中由溝槽400的側壁和基板200的頂表面200a對向的銳角β在約80度至約88度的範圍內。後續的製程,例如第37A圖至第38A圖、第37B圖至第38B圖、第37C圖至第38C圖以及第37D圖至第38D圖所示的那些製程,可以以與第34A圖至第35A圖、第34B圖至第35B圖、第34C圖至第35C圖以及第34D圖至第35D圖所示的那些製程相似的方式進行。
參照第38B圖,可以觀察到幾個結構特徵。由於溝槽400的錐形側壁,所得的閘極結構272(包括閘極介電層228和金屬層232)也具有錐形側壁。在一些實施例中,取決於閘極結構272在Z方向上的位置,沿著Y方向的閘極結構272的閘極長度是不同的。舉例來說,閘極結構272在Z方向上最靠近基板200的部分的閘極長度具有沿著Y方向的長度G2,而閘極結構272在Z方向上離基板200最遠的部分的閘極長度具有沿著Y方向的長度G1,其中長度G2大於長度G1。在一些實施例中,長度G2比長度G1大至少0.5nm(例如:至少1nm)。通常來說,第38B圖所示的閘極結構272控制半導體層220A中的通道以及基板200中的寄生平面通道。在典型的GAA裝置中,基板200中的寄生平面通道可以是GAA裝置效能的限制因素。然而,利用第38B圖中所示的錐形的閘極結構272,閘極結構272的最靠近基板200的部分的較長閘極長度G2減小了基板200中的寄生平面通道的截止狀態電流(off-state current)和接面漏電(junction leakage),從而改善了GAA裝置100的效能。
在一些實施例中,內部間隔物250可具有在其中形成的氣隙。第39A圖至第39G圖顯示了在內部間隔物250中形成氣隙以減小磊晶源極/汲極特徵208的側壁與閘極結構272的側壁之間的電容的實施例方法。第39A圖與第31B圖所示的結構相似,並且可以使用以上參照第31B圖所述的製程來形成。後續,如以上參照第7A圖至第7D圖所述,經由選擇性蝕刻製程透過錐形溝槽151中的暴露的側壁表面移除半導體層220B的一部分,以產生第39B圖所示的結構。選擇性蝕刻製程的結果是將源極/汲極溝槽351延伸到半導體層220A和頂部間隔物240下方的區域中。
參照第39C圖,在半導體層220A和220B的暴露部分上形成順應性介電層500。在一些實施例中,順應性介電層500可以藉由ALD製程形成,並且可以包括與上面參照內部間隔物250所討論的材料相似的材料。參照第39D圖,執行沉積製程(例如:低壓CVD,縮寫為LPCVD),以非順應性地在源極/汲極溝槽351中沉積進一步的介電層502。進一步的介電層502可以包括與上面參考內部間隔物250所討論的材料相似的材料。然而,由於源極/汲極溝槽351延伸到半導體層220A和頂部間隔物240下方的區域中,並且其中存在順應性介電層500,所以進一步的介電層502沒有完全填充半導體層220A下方的區域,從而形成氣隙504。參照第39E圖,在進一步的介電層502上執行回蝕製程以暴露半導體層220A的端部區和基板200的頂表面,同時在半導體層220A下方的區域中留下進一步的介電層502的殘留部分。回蝕製程可以包括濕式蝕刻製程或乾式蝕刻製程,其選擇性蝕刻進一步的介電層502而大抵上不干擾或消耗頂部間隔物240。參照第39F圖,接著使用上面參照第10A圖至第10D圖所述的相似製程形成磊晶源極/汲極特徵208。後續的製程可以與第33B圖至第35B圖所示那些製程相似的方式進行。最終結構(見第39G圖)包括在內部間隔物中的氣隙504,其可以減小磊晶源極/汲極特徵208的側壁與閘極結構272的側壁之間的電容。
在一些實施例中,頂部間隔物240可具有在其中形成的氣隙。第40A圖至第40I圖顯示了在頂部間隔物240中形成氣隙以減小接點特徵280的側壁與閘極結構272的側壁之間的電容的方法。第40A圖與第4B所示的結構相似,並且可以使用上面參照第4B圖所述的製程(例如:LPCVD及/或ALD製程)來形成。第40B圖顯示了在冗餘閘極結構210和最頂的半導體層220A的暴露表面上順應性形成的第一閘極間隔物240-1。第一閘極間隔物240-1可以包括相似的材料,並且可以使用與上面參照閘極間隔物240所述的相似方法來形成。
參照第40C圖,在第一閘極間隔物240-1上方順應性形成犧牲層240-2(例如:使用LPCVD及/或ALD製程)。犧牲層240-2可以包括多晶矽或與第一閘極間隔物240-1的材料不同的介電材料。參照第40D圖,回蝕犧牲層240-2,使得其殘留部分保留在第一閘極間隔物240-1的側壁(例如:垂直側壁)。合適回蝕製程可以包括濕式蝕刻製程或乾式蝕刻製程,其選擇性地蝕刻犧牲層240-2而大抵上不干擾或消耗第一閘極間隔物240-1。
參照第40E圖,在犧牲層240-2和第一閘極間隔物240-1的暴露表面上順應性形成第二閘極間隔物240-3。第二閘極間隔物240-3可以包括相似的材料,並且可以使用與上面參照第一閘極間隔物240-1所述的相似方法來形成。在第40F圖中,回蝕第二閘極間隔物240-3,使得其殘留部分保留在犧牲層240-2的側壁(例如:垂直側壁)。如第40F圖所示,回蝕製程還暴露了最頂的半導體層220A的頂表面。用於移除第二閘極間隔物240-3的一部分的回蝕製程可以包括濕式蝕刻製程或乾式蝕刻製程。如第40F圖所示,作為第40圖A至第40F圖所示的製程流程的結果,冗餘閘極結構210具有設置在其頂表面和側壁上的第一閘極間隔物240-1。犧牲層240-2設置在第一閘極間隔物240-1的側壁上,並且第二閘極間隔物240-3設置在犧牲層240-2的側壁上。
參照第40G圖,使用選擇性蝕刻製程移除犧牲層240-2,其選擇性地移除犧牲層240-2的材料,而大抵上不干擾或消耗第一閘極間隔物240-1的材料和第二閘極間隔物240-3的材料。如上面所述,犧牲層240-2可以包括多晶矽(例如:與冗餘閘極結構210相似的材料)。然而,由於第一閘極間隔物240-1設置在冗餘閘極結構210的頂表面和側壁上,第一閘極間隔物240-1用作防止在第40G圖的製程期間移除冗餘閘極結構210的保護層。第40G圖的結果是在第一閘極間隔物240-1和第二閘極間隔物240-3中的相鄰閘極間隔物之間形成空間402。
參照第40H圖,執行沉積和回蝕製程以在空間402的頂部區中形成介電材料240-4。關於沉積製程,由於空間402窄(例如;小於或等於約1nm),所以介電材料240-4沒有完全填充空間402,而是填充了其頂部區。間隔402的底部區(例如:接近基板200的間隔)保持未被填充。因此,第40H圖的沉積製程產生氣隙404,第一閘極間隔物240-1、第二閘極間隔物240-3以及介電材料240-4的剩餘部分共同形成其中具有氣隙404的頂部間隔物240。後續的製程可以以與第6A圖至第38A圖、第6B圖至第38B圖、第6C圖至第38C圖以及第6D圖至第38D圖所示的那些製程相似的方式進行。最終結構(見第40I圖)在頂部間隔物中包括氣隙404,其可以減小接點特徵280的側壁與閘極結構272的側壁之間的電容。
儘管不旨在限制,但是本揭露實施例為半導體製程和半導體裝置提供了益處。舉例來說,所揭露的方法比其他技術具有更大的製程餘量,以用於在GAA裝置的半導體通道層之間的有限間隔內形成閘極介電層和金屬層,從而消除或減少了這些層中的空隙及/或其他缺陷。對於特定實施例,形成錐形溝槽以引起自底向上的磊晶成長製程,其順應性地填充了錐形溝槽。另外,本方法允許磊晶源極/汲極特徵在包括更大半導體材料面積的側表面上成長,而不是介電材料。這提高了磊晶源極/汲極特徵的品質,並最終提高了GAA裝置的效能和可靠度。此外,本方法還提供了通用性(versatility),允許設計者根據設計需要選擇性地優化GAA裝置的不同區域的電容。如此一來,本公開提供了改善GAA裝置的效能、功能及/或可靠度的方法。換句話說,在本揭露中的GAA裝置和製造方法表現出期望的特性,示例是:(1)自底向上磊晶成長製程,其形成沒有空隙的源極/汲極區;(2)在窄通道-通道空間中的用於閘極介電質和電極的大形成餘量/窗口;(3)減小的源極/汲極區和相鄰的主動閘極結構之間的電容。
實施例的積體電路(IC)裝置包括:具有頂表面的半導體基板;設置在半導體基板上的第一源極/汲極特徵和第二源極/汲極特徵;包括第一半導體層和第二半導體層的複數半導體層。第一半導體層在第一方向上縱向延伸並連接第一源極/汲極特徵和第二源極/汲極特徵,而第二半導體層在第一方向上縱向延伸並連接第一源極/汲極特徵和第二源極/汲極特徵。第一半導體層在垂直於第一方向的第二方向上堆疊在第二半導體層上方並且與第二半導體層間隔,第二方向垂直於半導體基板的頂表面。積體電路(IC)裝置更包括接合第一半導體層的中心部分和第二半導體層的中心部分的閘極結構,其中第一半導體層沿著第一方向的長度小於第二半導體層沿著第一方向的長度。
在一些實施例中,第二半導體層的長度與第一半導體層的長度之間的差值為至少0.5奈米。
在一些實施例中,第二半導體層的長度與第一半導體層的長度之間的差值為至少1奈米。
在一些實施例中,在第二方向上測量的第一半導體層的厚度和第二半導體層的厚度之每一者在約3奈米至約10奈米的範圍內。
在一些實施例中,第一半導體層的寬度不同於第二半導體層的寬度,其中在垂直於第一方向和第二方向的第三方向上測量第一半導體層的寬度和第二半導體層的寬度之每一者。
在一些實施例中,第一半導體層的寬度與第二半導體層的寬度之間的差值在約0.5奈米至約5奈米的範圍內。
在一些實施例中,第一半導體層的寬度和第二半導體層的寬度之每一者在約6奈米至約50奈米的範圍內。
在一些實施例中,積體電路裝置更包括:第一間隔物,設置在第一半導體層的複數側部上方,第一半導體層是半導體層中的最頂層;以及第二間隔物,在第一方向上設置在第一半導體層的側部和第二半導體層的複數側部之間,其中第一間隔物和第二間隔物具有不同的材料組成。
在一些實施例中,第二間隔物包括氣隙。
在一些實施例中,閘極結構的第一部分接合第一半導體層,並且閘極結構的第二部分接合第二半導體層,其中閘極結構的第一部分的沿著第一方向的長度小於閘極結構的第二部分的沿著第一方向的長度。
在一些實施例中,閘極結構的第一部分的長度與閘極結構的第二部分的長度之間的差值為至少0.5奈米。
在一些實施例中,第一源極/汲極特徵的側壁和半導體基板的頂表面對向的銳角在約80度至約88度的範圍內。
實施例的積體電路(IC)裝置包括:具有頂表面的半導體基板;設置在半導體基板上的第一源極/汲極特徵和第二源極/汲極特徵;沿著第一方向從第一源極/汲極特徵延伸到第二源極/汲極特徵的複數半導體層。半導體層沿著垂直於頂表面且垂直於第一方向的第二方向彼此堆疊,其中半導體層之每一者具有中心部分和與中心部分橫向相鄰的兩個側部。積體電路(IC)裝置還包括接合半導體層之每一者的中心部分的閘極電極;在半導體層的最頂半導體層的兩個側部上方的第一間隔物;以及沿著第二方向在半導體層的垂直相鄰的複數側部之間的第二間隔物。第一間隔物包括具有第一介電常數的第一介電材料,第二間隔物包括具有不同於第一介電常數的第二介電常數的第二介電材料,以及沿著第一方向測量的最頂半導體層的長度小於最頂部半導體層垂直下方的半導體層之每一者的長度。
在一些實施例中,積體電路裝置更包括與半導體層中之每一者的複數相對端接觸的閘極端介電層,其中閘極端介電層包括具有第三介電常數的第三介電材料,第三介電常數大於第一介電常數和第二介電常數。
在一些實施例中,第一間隔物包括選自氧化矽(SiO2 )、氧氮化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)及其組合的介電材料,並且第二間隔物包括選自二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳摻雜的氧化物、氮摻雜的氧化物、多孔氧化物及其組合的介電材料。
在一些實施例中,第二間隔物在其中包括氣隙。
實施例積體電路裝置之製造方法包括:在半導體基板上方形成包括複數第一半導體層和複數第二半導體層的堆疊,其中第一半導體層和第二半導體層具有不同的材料組成,並且在堆疊內彼此交替;在堆疊上方形成冗餘閘極結構,其中冗餘閘極結構包裹堆疊的頂表面和複數側表面;在冗餘閘極結構的複數側壁上形成複數第一間隔物,第一間隔物設置在堆疊的頂表面上;在堆疊中形成第一錐形溝槽和第二錐形溝槽,以暴露半導體基板的頂表面;在第一錐形溝槽中形成第一源極/汲極特徵,並且在第二錐形溝槽中形成第二源極/汲極特徵;從堆疊的頂表面和側表面移除冗餘閘極結構;以及移除第二半導體層,使得第一半導體層保留並形成將第一源極/汲極特徵和第二源極/汲極特徵彼此連接的複數半導體薄片,其中在平行於半導體基板的頂表面的方向上測量的最頂第一半導體層的長度小於最底第一半導體層的長度。
在一些實施例中,在第一錐形溝槽中形成第一源極/汲極特徵,並且在第二錐形溝槽中形成第二源極/汲極特徵的步驟包括自底向上磊晶成長製程。
在一些實施例中,積體電路裝置之製造方法更包括:在形成第一錐形溝槽和第二錐形溝槽之後,移除設置在第一間隔物下方的第二半導體層的複數第一部分,以形成複數間隙;以及在間隙中形成第二間隔物。
在一些實施例中,第二間隔物具有與第一間隔物不同的材料組成。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
800:方法 810,820,830,840,850,860,870,880,890,900,910,920,930,940,950,960,970,980,990,1000,1010,1020,1030,1040:操作 A-A’,B-B’,C-C’:線段 100:環繞式閘極裝置 200:基板 205:摻雜部分/摻雜區 220A,220B:半導體層 300,310:厚度 130a,130b:鰭片 350:寬度 203:隔離特徵 202a,202b:主動區 203a:頂表面 200a:頂表面 210:冗餘閘極結構 240:閘極間隔物/頂部間隔物 241:厚度 151:錐形溝槽 151w:側壁 α:銳角 151a:底部 220A-center:中心部分 220A-side:側部 220B-center:中心部分 220B-side:側部 161:開口 305:厚度 315:高度/厚度 248:介電材料 250:內部間隔物 171:連續側壁表面 D1,D2,D3:距離 208:磊晶源極/汲極特徵 214:層間介電層 153:閘極溝槽 157:開口 228:閘極介電層 230:金屬層 232:金屬層 270:閘極結構 272:閘極結構 260:閘極頂部硬罩幕層 282:罩幕層 283:端部 155:端切割溝槽 262:閘極端介電特徵 284:罩幕層 159:閘極溝槽 234:基於介電質的閘極 290:閘極頂部介電層 278:接點孔 285:通孔 280:接點特徵 286:通孔特徵 288:自我對準矽化物特徵 L2:長度 L1:長度 W2:寬度 W1:寬度 T2:厚度 T1:厚度 304:層間介電層 384:罩幕層 357:基於介電質的閘極溝槽 334:基於介電質的閘極 351:源極/汲極溝槽 400:溝槽 β:銳角 G2:長度 G1:長度 500:順應性介電層 502:介電層 504:氣隙 240-1:第一閘極間隔物 240-2:犧牲層 240-3:第二閘極間隔物 402:空間 240-4:介電材料 404:氣隙
本揭露之觀點從後續實施例以及附圖可以更佳理解。須知示意圖係為範例,並且不同特徵並無示意於此。不同特徵之尺寸可能任意增加或減少以清楚論述。 第1A圖、第1B圖以及第1C圖是根據本揭露實施例之用於製造GAA裝置的實施例的方法的流程圖; 第2A圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖以及第38A圖是根據本揭露實施例之各種製造站點中構建的本揭露的GAA裝置的實施例的俯視圖。 第2B圖、第3B圖、第4B圖、第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖、第31B圖、第32B圖、第33B圖、第34B圖、第35B圖、第36B圖、第37B圖以及第38B圖是根據本揭露實施例之個別沿著第2A圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖以及第38A圖中的線段A-A’的本揭露的GAA裝置的實施例的剖面圖。 第2C圖、第3C圖、第4C圖、第5C圖、第6C圖、第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第12C圖、第13C圖、第14C圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32C圖、第33C圖、第34C圖、第35C圖、第36C圖、第37C圖以及第38C圖是根據本揭露實施例之個別沿著第2A圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖以及第38A圖中的線段B-B’的本揭露的GAA裝置的實施例的剖面圖。 第2D圖、第3D圖、第4D圖、第5D圖、第6D圖、第7D圖、第8D圖、第9D圖、第10D圖、第11D圖、第12D圖、第13D圖、第14D圖、第15D圖、第16D圖、第17D圖、第18D圖、第19D圖、第20D圖、第21D圖、第22D圖、第23D圖、第24D圖、第25D圖、第26D圖、第27D圖、第28D圖、第29D圖、第30D圖、第31D圖、第32D圖、第33D圖、第34D圖、第35D圖、第36D圖、第37D圖以及第38D圖是根據本揭露實施例之個別沿著第2A圖、第3A圖、第4A圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖以及第38A圖中的線段C-C’的本揭露的GAA裝置的實施例的剖面圖。 第39A圖、第39B圖、第39C圖、第39D圖、第39E圖、第39F圖以及第39G圖和第40A圖、第40B圖、第40C圖、第40D圖、第40E圖、第40F圖、第40G圖、第40H圖以及第40I圖是根據本揭露實施例之用於製造GAA裝置的各種實施例的方法的剖面圖。
100:環繞式閘極裝置
200:基板
205:摻雜部分/摻雜區
220A:半導體層
240:閘極間隔物/頂部間隔物
250:內部間隔物
208:磊晶源極/汲極特徵
228:閘極介電層
232:金屬層
272:閘極結構
260:閘極頂部硬罩幕層
234:基於介電質的閘極
290:閘極頂部介電層
280:接點特徵
288:自我對準矽化物特徵
L2:長度
L1:長度

Claims (1)

  1. 一種積體電路裝置,包括: 一半導體基板,具有一頂表面; 一第一源極/汲極特徵和一第二源極/汲極特徵,設置在上述半導體基板上; 複數半導體層,包括一第一半導體層和一第二半導體層,上述第一半導體層在一第一方向上縱向延伸並連接上述第一源極/汲極特徵和上述第二源極/汲極特徵,上述第二半導體層在上述第一方向上縱向延伸並連接上述第一源極/汲極特徵和上述第二源極/汲極特徵,其中上述第一半導體層在垂直於上述第一方向的一第二方向上堆疊在上述第二半導體層上方並且與上述第二半導體層間隔,上述第二方向垂直於上述半導體基板的上述頂表面;以及 一閘極結構,接合上述第一半導體層的一中心部分和上述第二半導體層的一中心部分,其中上述第一半導體層沿著上述第一方向的長度小於上述第二半導體層沿著上述第一方向的長度。
TW109131262A 2019-09-27 2020-09-11 積體電路裝置 TW202127664A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/585,636 US11239335B2 (en) 2019-09-27 2019-09-27 Structure and method for semiconductor devices
US16/585,636 2019-09-27

Publications (1)

Publication Number Publication Date
TW202127664A true TW202127664A (zh) 2021-07-16

Family

ID=75120179

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109131262A TW202127664A (zh) 2019-09-27 2020-09-11 積體電路裝置

Country Status (3)

Country Link
US (3) US11239335B2 (zh)
CN (1) CN112582412A (zh)
TW (1) TW202127664A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11056588B2 (en) * 2019-10-02 2021-07-06 International Business Machines Corporation Vertical transport field effect transistor with bottom source/drain
US20210202696A1 (en) * 2019-12-26 2021-07-01 Intel Corporation Gate-all-around integrated circuit structures having removed substrate
US11158741B2 (en) * 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
US11296082B2 (en) * 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
KR20220091756A (ko) * 2020-12-24 2022-07-01 삼성전자주식회사 반도체 장치
US11575047B2 (en) * 2021-05-12 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device active region profile and method of forming the same
US20230048829A1 (en) * 2021-08-13 2023-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation Structures

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793273B2 (en) 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US9613953B2 (en) 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US10297664B2 (en) * 2017-04-13 2019-05-21 Globalfoundries Inc. Nanosheet transistor with uniform effective gate length
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10985279B2 (en) * 2019-03-13 2021-04-20 International Business Machines Corporation Source and drain epitaxy and isolation for gate structures
US10971630B2 (en) * 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
KR20200143988A (ko) * 2019-06-17 2020-12-28 삼성전자주식회사 집적회로 장치 및 그 제조 방법

Also Published As

Publication number Publication date
US20220157963A1 (en) 2022-05-19
US11239335B2 (en) 2022-02-01
US11728402B2 (en) 2023-08-15
CN112582412A (zh) 2021-03-30
US20230378301A1 (en) 2023-11-23
US20210098627A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
US11158727B2 (en) Structure and method for gate-all-around device with extended channel
US11728402B2 (en) Structure and method for semiconductor devices
US11996483B2 (en) FET with wrap-around silicide and fabrication methods thereof
US11476166B2 (en) Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
KR102271583B1 (ko) 멀티 게이트 디바이스 및 관련 방법
US11121036B2 (en) Multi-gate device and related methods
US11830878B2 (en) Structure and method for gate-all-around metal-oxide-semiconductor devices with improved channel configurations
KR102503922B1 (ko) 다중 게이트 호환 프로세스를 사용한 esd 디바이스의 형성
TW202036910A (zh) 半導體裝置及其形成方法
US11521858B2 (en) Method and device for forming metal gate electrodes for transistors
US20230035444A1 (en) Improved Via Structures
TWI770789B (zh) 電晶體、半導體裝置及形成方法
US11600528B2 (en) Semiconductor structure and method for forming the same
US20220037506A1 (en) Multi-gate device and related methods
US20240145566A1 (en) Structure and Method for Gate-All-Around Devices with Dielectric Interposer
US20240162331A1 (en) Structure and method for multi-gate semiconductor devices
US11869892B2 (en) Semiconductor device structure and methods of forming the same
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20230411479A1 (en) Semiconductor device and manufacturing method thereof
US20240105805A1 (en) Semiconductor structure with dielectric wall structure and method for manufacturing the same
US20230335616A1 (en) Semiconductor Devices And Methods Of Fabricating The Same
TW202131521A (zh) 半導體裝置
TW202320344A (zh) 半導體結構