CN114582804A - 具有栅极切割结构的半导体器件及其形成方法 - Google Patents

具有栅极切割结构的半导体器件及其形成方法 Download PDF

Info

Publication number
CN114582804A
CN114582804A CN202210100872.XA CN202210100872A CN114582804A CN 114582804 A CN114582804 A CN 114582804A CN 202210100872 A CN202210100872 A CN 202210100872A CN 114582804 A CN114582804 A CN 114582804A
Authority
CN
China
Prior art keywords
gate
gate structure
feature
substrate
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210100872.XA
Other languages
English (en)
Inventor
谌俊元
王培宇
苏焕杰
邱奕勋
庄正吉
蔡庆威
程冠伦
王志豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114582804A publication Critical patent/CN114582804A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)

Abstract

提供了具有栅极切割结构的半导体器件及其形成方法。根据本公开的方法包括提供包括正面和背面的工件。该工件包括衬底、在衬底的第一部分之上的第一多个沟道构件、在衬底的第二部分之上的第二多个沟道构件、夹在衬底的第一部分和第二部分之间的隔离特征。该方法还包括形成环绕第一多个沟道构件和第二多个沟道构件中的每一个的接合栅极结构,在隔离特征中形成引导开口,延伸该引导开口穿过接合栅极结构以形成将接合栅极结构分为第一栅极结构和第二栅极结构的栅极切割开口,以及将电介质材料沉积到栅极切割开口中以形成栅极切割特征。

Description

具有栅极切割结构的半导体器件及其形成方法
技术领域
本公开总体涉及具有栅极切割结构的半导体器件及其形成方法。
背景技术
半导体集成电路(IC)行业经历了指数增长。IC材料和设计的技术进步已经产生了几代IC,其中每一代都具有比上一代更小和更复杂的电路。在IC演变过程中,功能密度(即,每芯片面积的互连器件的数量)通常增大,同时几何尺寸(即,使用制造工艺能够产生的最小组件(或线))减小。这种按比例缩小工艺通常通过提高生产效率和降低相关联的成本来提供益处。这种按比例缩小也增加了加工和制造IC的复杂度。
随着集成电路(IC)技术朝着更小的技术节点发展,越来越难以确保令人满意的掩模覆盖。例如,一些栅极切割特征包括使用一系列光刻和蚀刻工艺而顺序地形成的顶部和底部。当掩模对准不太理想时,顶部可能不会落在底部上。因此,虽然现有的栅极切割特征及其形成工艺通常足以满足其预期目的,但并非在所有方面都令人满意。
发明内容
在本申请的一方面中,提供一种方法,包括:提供包括正面和背面的工件,所述工件包括衬底、在所述衬底的第一部分之上的第一多个沟道构件、在所述衬底的第二部分之上的第二多个沟道构件、夹在所述衬底的第一部分和第二部分之间的隔离特征,其中,所述衬底在所述工件的背面,并且所述第一多个沟道构件和所述第二多个沟道构件在所述工件的正面;形成接合栅极结构,该接合栅极结构环绕所述第一多个沟道构件和所述第二多个沟道构件中的每一个;在所述隔离特征中形成引导开口,其中,所述引导开口从所述工件的背面暴露所述接合栅极结构;延伸所述引导开口穿过所述接合栅极结构以形成栅极切割开口,该栅极切割开口将所述接合栅极结构分为第一栅极结构和第二栅极结构;以及将电介质材料沉积到所述栅极切割开口中以形成栅极切割特征。
在本申请的另一方面中,提供一种形成半导体器件的方法,包括:在从衬底突出的基部之上形成多个沟道构件,所述沟道构件在垂直方向上堆叠;在所述基部的侧壁之上沉积隔离特征;在所述基部之上形成源极/漏极特征并且使所述源极/漏极特征邻接所述沟道构件的横向端;在所述隔离特征之上形成栅极结构并且使所述栅极结构环绕所述沟道构件中的每一个;蚀刻所述基部,从而形成从所述半导体器件的背面暴露所述源极/漏极特征和所述栅极结构的第一沟槽;在所述第一沟槽中沉积第一电介质层;蚀刻所述隔离特征,从而形成从所述半导体器件的背面暴露所述第一电介质层和所述栅极结构的第二沟槽;在所述第一电介质层的侧壁之上沉积第二电介质层,从而减小所述第二沟槽的开口尺寸;以及蚀刻所述栅极结构,从而使所述第二沟槽延伸穿过所述栅极结构。
在本申请的又一方面中,提供一种半导体器件,包括:第一栅极结构,设置在第一背面电介质特征之上;第二栅极结构,设置在第二背面电介质特征之上;以及栅极切割特征,从所述第一栅极结构和所述第二栅极结构之间连续地延伸到所述第一背面电介质特征和所述第二背面电介质特征之间,其中,所述栅极切割特征包括所述第一栅极结构和所述第二栅极结构之间的气隙。
附图说明
当结合附图阅读时,从以下详细描述可以最佳地理解本公开。需要强调的是,根据行业中的标准实践,各种特征未按比例绘制,并且仅用于说明的目的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意地增大或缩小了。
图1示出了根据本公开的一个或多个方面的用于形成具有栅极切割特征的半导体器件的方法的流程图,其中栅极切割特征从半导体器件的背面形成。
图2A、图3A、图4A、图5A、图6A、图7A、图8A、图9A和图10A示出了根据本公开的一个或多个方面的在根据图1的方法的制造过程期间的工件的局部透视图。
图2B、图2C、图2D、图2E、图3B、图3C、图3D、图3E、图4B、图4C、图4D、图4E、图5B、图5C、图5D、图5E、图6B、图6C、图6D、图6E、图7B、图7C、图7D、图7E、图8B、图8C、图8D、图8E、图9B、图9C、图9D、图9E、图10B、图10C、图10D和图10E示出了根据本公开的一个或多个方面的在根据图1的方法的制造过程期间的工件的各个透视图中的局部截面图。
图11、图12、图13、图14、图15、图16、图17、图18、图19、图20、图21、图22和图23示出了根据本公开的一个或多个方面的使用图1的方法制造的替代半导体结构或中间结构。
具体实施方式
以下公开内容提供了用于实现所提供的主题的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这些仅仅是示例而不旨在进行限制。例如,在下面的描述中,在第二特征之上或在第二特征上形成第一特征可以包括以直接接触的方式来形成第一特征和第二特征的实施例,并且还可以包括可在第一特征和第二特征之间形成附加特征使得第一特征和第二特征可不直接接触的实施例。此外,本公开可以在各个示例中重复附图标记和/或字母。这种重复是为了简单和清楚的目的,并且其本身不表示所讨论的各个实施例和/或配置之间的关系。
本文可能使用了空间相关术语(例如,“之下”、“下方”、“下”、“上方”、“上”等),以易于描述附图中所示的一个要素或特征与另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语旨在涵盖器件在使用中或操作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转90度或处于其他朝向),并且本文使用的空间相关描述符可类似地进行相应解释。
此外,当用“大约”、“约”等来描述数字或数字范围时,考虑到在制造过程中固有地出现的变化,该术语旨在涵盖在合理范围内的数字,这是本领域普通技术人员可以理解的。例如,基于与制造具有与数字相关联的特性的特征相关联的已知制造容差,数字或数字范围涵盖包括所述数字的合理范围,例如在所述数字的+/-10%以内。例如,具有“约5nm”厚度的材料层可以包括4.25nm至5.75nm的尺寸范围,其中,本领域普通技术人员已知与沉积材料层相关联的制造容差为+/-15%。此外,本公开可以在各种示例中重复附图标记和/或字母。这种重复是为了简单和清楚的目的,并且其本身不表示所讨论的各个实施例和/或配置之间的关系。
在半导体制造中,切割金属栅极(CMG)工艺是指用于形成电介质特征以将跨越多于一个有源区域的连续栅极结构划分为两个或更多个分段(segment)的工艺。这种电介质特征可以被称为栅极切割特征或切割特征。在一些现有的CMG工艺中,栅极切割特征被形成在电介质鳍(或混合鳍)上。通过顶部的栅极切割特征和底部的电介质鳍,它们协同工作以将栅极结构分成两个部分。在一些示例工艺中,使用光刻和蚀刻工艺从衬底(例如,晶圆)的正面(或前侧)来形成栅极切割特征。随着半导体器件继续按比例缩小,由于覆盖和临界尺寸均匀性(CDU)的限制,正好在电介质鳍上形成栅极切割特征变得越来越困难。在某些情况下,与电介质鳍错位的栅极切割特征可能会切入栅极结构或沟道区域,从而导致缺陷。
本公开提供了不同于现有技术的CMG工艺,其从衬底的背面(或后侧)形成切割特征。此外,根据本公开的切割特征从衬底的背面延伸穿过栅极结构。即,本公开的切割特征单独地将栅极结构划分为分段,而无需电介质鳍或混合鳍的帮助。在一些情况下,本公开的切割特征甚至可以在水平方向上延伸穿过多于一个栅极结构,或在垂直方向上延伸穿过栅极结构之上的一个或多个电介质特征或层。本公开的工艺不仅从背面形成,而且还是自对准的,以避免与掩模未对准相关联的缺陷。本公开的实施例可以在维持或增加工艺窗口的同时继续按比例缩小单元高度。
现在将参考附图更详细地描述本公开的各个方面。就此而言,图1是示出根据本公开的实施例的形成半导体器件的方法100的流程图。方法100仅是一个示例,而并不旨在将本公开限制于方法100中明确说明的内容。可以在方法100之前、期间和之后提供附加步骤,并且可以替换、消除或去除所描述的一些步骤以用于方法100的另外的实施例。为了简单起见,本文没有详细描述所有步骤。下面结合图2A-图10A、图2B-图10B、图2C-图10C、图2D-图10D、图2E-图10E和图11-图23来描述方法100,它们是工件200在根据方法100的实施例的不同制造阶段的局部透视图和截面图。在图2A-图10A、图2B-图10B、图2C-图10C、图2D-图10D和图2E-图10E中,以A结尾的图是工件200的透视图;以B结尾的图是在相应透视图中沿着横截面B-B’的局部截面图;以C结尾的图是在相应透视图中沿着横截面C-C’的局部截面图;以D结尾的图是在相应透视图中沿着横截面D-D’的局部截面图;以及以E结尾的图是在相应透视图中沿着横截面E-E’的局部截面图。因为工件200将在制造工艺结束时被制造为半导体器件,所以工件200可以根据上下文需要被称为半导体器件(或器件)200。此外,在本申请中,除非另有说明,否则相同的附图标记表示相同的特征。
本公开的实施例可以被实施以改进可以包括多栅极器件的半导体器件。多栅极器件通常是指栅极结构或其一部分设置在沟道区域的多于一侧之上的器件。鳍状场效应晶体管(FinFET)和多桥沟道(MBC)晶体管是多栅极器件的示例,这些晶体管已成为高性能和低泄漏应用的流行和有前途的候选者。FinFET的抬升的沟道被栅极在多于一侧环绕(例如,栅极环绕从衬底延伸的半导体材料的“鳍”的顶部和侧壁)。MBC晶体管的栅极结构可以部分或全部地围绕沟道区域延伸,以在两侧或更多侧上提供进入沟道区域的途径。由于MBC晶体管的栅极结构围绕沟道区域,因此MBC晶体管也可以被称为环绕栅极晶体管(SGT)或栅极全环绕(GAA)晶体管。MBC晶体管的沟道区域可以由纳米线、纳米片、其他纳米结构和/或其他合适的结构形成。沟道区域的形状也给MBC晶体管带来了替代名称,例如纳米片晶体管或纳米线晶体管。本公开的实施例使用MBC晶体管结构来描述,其仅用于说明的目的,而不应被解释为限制本公开的范围。
参考图1和图2A-图2E,方法100包括块102,在块102中接收工件200。图2A-图2E示出了工件200正面朝上。即,尚未对图2A-图2E中所示的工件200执行背面工艺。工件200包括衬底202。在一个实施例中,衬底202包括硅(Si)。在其他实施例中,衬底202还可以包括其他半导体材料,例如锗(Ge)、碳化硅(SiC)、硅锗(SiGe)或金刚石。工件200包括第一基部202-1和第二基部202-2,每个这些基部中的每一者从衬底202图案化得到并且可以与衬底202共享相同的成分。虽然在图2A-图2E中示出了衬底202,但是为了简单起见,可以从至少一些其他图中省略衬底。参考图2E,第一基部202-1和第二基部202-2通过隔离特征204彼此间隔开。在一些实施例中,隔离特征204沉积在基部202-1和202-2之间的沟槽中并且围绕基部202-1和202-2。隔离特征204也可以称为浅沟槽隔离(STI)特征204。隔离特征204可以包括氧化硅、氮氧化硅、掺杂氟的硅酸盐玻璃(FSG)、低k电介质、它们的组合和/或其他合适的材料。
参考图2E,工件200包括在第一基部202-1之上的多个在垂直方向上堆叠的沟道构件208和在第二基部202-2之上的另外多个在垂直方向上堆叠的沟道构件208。在所描绘的实施例中,这两(2)部分的在垂直方向上堆叠的沟道构件208设置在第一基部202-1和第二基部202-2中的各基部之上,这仅用于说明的目的而不旨在限制超出在权利要求中具体叙述的内容。沟道构件208可以由类似于衬底202的材料的半导体材料形成。在一个实施例中,沟道构件208可以包括硅(Si)。第一基部202-1和第二基部202-2之上的沟道构件208被沿着Y方向延伸的接合栅极结构250环绕。每个接合栅极结构250可以包括在沟道构件208之上并环绕沟道构件208的界面层252、在界面层252之上并环绕界面层252的栅极电介质层254、以及在栅极电介质层254之上并环绕栅极电介质层254的栅极电极层255。在一些实施例中,界面层252包括氧化硅。栅极电介质层254也可以称为高k电介质层,因为它由介电常数大于二氧化硅的介电常数(该介电常数约为3.9)的电介质材料形成。栅极电介质层254可以包括氧化铪。替代地,栅极电介质层254可以包括其他高K电介质,例如二氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O5)、氧化铪硅(HfSiO4)、二氧化锆(ZrO2)、氧化锆硅(ZrSiO2)、氧化镧(La2O3)、氧化铝(Al2O3)、氧化锆(ZrO)、氧化钇(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、氧化铪镧(HfLaO)、氧化镧硅(LaSiO)、氧化铝硅(AlSiO)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)、(Ba,Sr)TiO3(BST)、氮化硅(SiN)、氮氧化硅(SiON),它们的组合或其他合适的材料。
栅极电极层255可以包括单层结构或者替代地包括多层结构,例如具有选定功函数以增强器件性能的金属层(功函数金属层)、衬里层、润湿层、第一粘合层、金属合金或金属硅化物的各种组合。举例来说,栅极电极层255可以包括氮化钛(TiN)、钛铝(TiAl)、氮化钛铝(TiAlN)、氮化钽(TaN)、钽铝(TaAl)、氮化钽铝(TaAlN)、碳化钽铝(TaAlC)、碳氮化钽(TaCN)、铝(Al)、钨(W)、镍(Ni)、钛(Ti)、钌(Ru)、钴(Co)、铂(Pt)、碳化钽(TaC)、氮化钽硅(TaSiN)、铜(Cu)、其他难熔金属、或其他合适的金属材料或它们的组合。
参考图2A-图2C,工件200包括栅极间隔件216,该栅极间隔件216沿着位于最顶部沟道构件208上方或隔离特征204上方的接合栅极结构250的侧壁设置。栅极间隔件216可以是单层或多层。在一些实施例中,栅极间隔件216可以包括氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅和/或它们的组合。在两个相邻的沟道构件208之间,栅极结构的侧壁由内部间隔件特征228加衬。内部间隔件特征228可以包括氧化硅、氮化硅、碳氧化硅、碳氮氧化硅、碳氮化硅、金属氮化物或合适的电介质材料。关于第一基部202-1和第二基部202-2中的每一个,每个在垂直方向上的沟道构件208的堆叠在源极特征230S和漏极特征230D(统称为源极/漏极特征230)之间延伸。每个沟道构件208的一个侧端表面耦合到源极特征230S,并且每个沟道构件208的另一个侧端表面耦合到漏极特征230D。根据待形成的MBC晶体管的导电类型,源极特征230S和漏极特征230D可以是n型或p型。当它们是n型时,它们可以包括硅(Si)、掺杂磷的硅(Si:P)、掺杂砷的硅(Si:As)、掺杂锑的硅(Si:Sb)或其他合适的材料,并且可以在外延工艺期间通过引入n型掺杂剂(例如,磷(P)、砷(As)或锑(Sb))进行原位掺杂。当它们是p型时,它们可以包括锗(Ge)、掺杂镓的硅锗(SiGe:Ga)、掺杂硼的硅锗(SiGe:B)或其他合适的材料,并且可以在外延工艺期间通过引入p型掺杂剂(例如,硼(B)或镓(Ga))进行原位掺杂。应当注意,在所示实施例中,源极特征240S和漏极特征230D中的每一个直接地设置在基部202-1和202-2上,其间没有形成其他牺牲特征,例如,在一些替代实施例中,不存在保留空间以形成背面源极/漏极接触件的牺牲源极/漏极插塞(plug)。如下文进一步详细解释的,无需形成背面导电特征,背面蚀刻工艺期间的工艺窗口可以被扩大。
参考图2A、图2C和2D。工件200还包括设置在源极特征230S和漏极特征230D之上的接触蚀刻停止层(CESL)232以及设置在CESL 232之上的层间电介质(ILD)层234。CESL 232可以包括氮化硅、氮氧化硅和/或本领域已知的其他材料。ILD层234可以包括诸如原硅酸四乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(例如,硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG))和/或其他合适的电介质材料之类的材料。
在图2A、图2B、图2C和图2E表示的一些实施例中,工件200包括栅极自对准接触(SAC)电介质层256。在一些情况下,栅极SAC电介质层256可以设置在接合栅极结构250和栅极间隔件216之上。栅极SAC电介质层256可以是单层或多层,并且可以包括氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅和/或它们的组合。在一些情况下,工件200还包括栅极帽盖层257,该栅极帽盖层257设置在接合栅极结构250和栅极SAC电介质层256之间。在一个实施例中,栅极帽盖层257包括一种或多种导电材料,例如钨。栅极帽盖层257防止栅极SAC电介质层256中的电介质材料接触栅极电极层255中的功函数金属。在所示的实施例中,栅极帽盖层257没有设置在栅极间隔件216之上而是被栅极间隔件216围绕。栅极帽盖层257可以通过使接合栅极结构250凹陷、在凹陷的接合栅极结构250之上沉积一种或多种导电材料、并对一种或多种导电材料执行CMP工艺来形成。工件200还可以包括源极特征230S之上的正面源极接触件260S和漏极特征之上的正面漏极接触件260D。正面源极接触件260S或正面漏极接触件260D可以包括氮化钛(TiN)、钽(Ta)、钛(Ti)、氮化钽(TaN)、钌(Ru)、钨(W)、钴(Co)、铝(Al)、钼(Mo)、硅化钛(TiSi)、硅化钨(WSi)、硅化铂(PtSi)、硅化钴(CoSi)、硅化镍(NiSi)或它们的组合。
参考图1和图3A-图3E,方法100包括块104,在块104中将工件200上下翻转。为了将工件200上下翻转,载体衬底220被接合到工件200的远离衬底202的正面。在一些实施例中,载体衬底220可以通过熔融接合、通过使用粘合层或它们的组合而被接合到工件200。在一些情况下,载体衬底220可以由半导体材料(例如,硅)、蓝宝石、玻璃、聚合材料或其他合适的材料形成。在使用熔融接合的实施例中,载体衬底220包括底部氧化层,并且工件200包括顶部氧化层。在底部氧化层和顶部氧化层都经过处理之后,将它们放置为处于彼此紧密接触(plush contact),以便在室温或抬升的温度下直接接合。一旦载体衬底220被接合到工件200,工件200被翻转,如图3A-图3E所示。为了简单起见,图3B-图3E省略了一些已经在图3A中示出的特征,例如载体衬底220。为了简单起见,载体衬底220也可以从至少一些其他图中省略。在工件200被翻转之后,工件200的背面使用化学机械抛光(CMP)平坦化,直到隔离特征204、第一基部202-1和第二基部202-2在工件200的现在面朝上的背面上暴露。
参考图1和图4A-图4E,方法100包括块106,在块106中第一基部202-1和第二基部202-2被选择性地蚀刻以形成暴露接合栅极结构250的背面(例如,界面层252)的沟槽268。沟槽268还暴露源极/漏极特征230的表面。在一些实施例中,块106处的操作应用蚀刻工艺,该蚀刻工艺被调整为对基部202-1/202-2中的半导体材料(例如,硅)的材料具有选择性,并且对接合栅极结构250(例如,界面层252)、隔离特征204、CESL 232和内部间隔件特征228不进行蚀刻(或进行最小程度的蚀刻)。在所示实施例中,蚀刻工艺还对源极/漏极特征230进行蚀刻,以使它们凹陷到与沟道构件208的最底部表面齐平的水平。然而,沟道构件208保持未在沟槽268中暴露。在进一步的一些实施例中,凹陷的源极/漏极特征230保持低于隔离特征204和CESL 232之间的界面(如图4D中所示),并且还低于最底部的内部间隔件特征228(如图4C中所示)。在一些替代实施例中,凹陷的源极/漏极特征230可以保持高于沟道构件208的最底部表面(如图13中所示)。在进一步的替代实施例中,凹陷的源极/漏极特征230可以保持高于最底部的内部间隔件特征228和/或高于接合栅极结构250的最底部表面(如图14中所示)。在一些其他实施例中,由于掺杂剂的差异(例如,n型掺杂剂和p型掺杂剂),n型和p型源极/漏极特征230可能具有蚀刻选择性差异,从而导致n型和p型源极/漏极特征230之间的凹陷表面不齐平(如图15中所示)。块106处的操作可以应用多于一种蚀刻工艺。例如,可以应用第一蚀刻工艺来选择性地去除基部202-1/202-2,然后应用第二蚀刻工艺来选择性地将源极/漏极特征230凹陷到期望的水平,其中第一蚀刻工艺和第二蚀刻工艺使用不同的蚀刻参数,例如使用不同的蚀刻剂。(一种或多种)蚀刻工艺可以是干法蚀刻、湿法蚀刻、反应离子蚀刻或其他蚀刻方法。
参考图1和图5A-图5E,方法100包括块108,在块108中沉积具有一种或多种电介质材料的背面电介质层270以填充沟槽268并覆盖接合栅极结构250和源极/漏极特征230的暴露的底表面。在一些实施例中,背面电介质层270可以包括以下项中的一项或多项:La2O3、Al2O3、SiOCN、SiOC、SiCN、SiO2、SiC、ZnO、ZrN、Zr2Al3O9、TiO2、TaO2、ZrO2、HfO2、Si3N4、Y2O3、AlON、TaCN、ZrSi或(一种或多种)其他合适的材料,并且可以通过PE-CVD、F-CVD或其他合适的方法形成。此外,在本实施例中,背面电介质层270和隔离特征204可以包括不同的材料,使得当通过CMP工艺来平坦化背面电介质层270以暴露隔离特征204时,隔离特征204可以充当CMP停止件。
参考图1、图6A-图6E和图7A-图7E,方法100包括块110,在块110中隔离特征204被选择性地蚀刻以形成暴露接合栅极结构250的引导开口(pilot opening)282。块110处的操作包括形成经图案化硬掩模280(图6A-图6E中所示)和形成引导开口282(图7A-图7E中所示)。在示例工艺中,使用CVD在工件200之上毯式沉积硬掩模层。硬掩模层可以是单层或多层。当硬掩模层为多层时,硬掩模层可以包括氧化硅层和氮化硅层。在沉积硬掩模层之后,可以执行光刻和蚀刻工艺以图案化该硬掩模层从而形成经图案化硬掩模。在一些情况下,光致抗蚀剂层被沉积在硬掩模层之上。为了图案化光致抗蚀剂层,光致抗蚀剂层被暴露于从光掩模反射或透射穿过光掩模的辐射,在曝光后烘烤工艺中被烘烤,并在显影剂中被显影。然后将经图案化光致抗蚀剂层用作蚀刻掩模以对硬掩模层进行蚀刻,从而形成经图案化硬掩模280。参考图6A-图6E,经图案化硬掩模280包括与要形成的引导开口282基本上对准的掩模开口281。根据本公开,经图案化硬掩模280用于掩蔽隔离特征204的不被蚀刻的部分。背面电介质层270的部分是否在掩模开口281中暴露并不重要。如图6E中的虚线所示,掩模开口281可以不与背面电介质层270的部分相连。之所以如此,是因为形成引导开口282的蚀刻工艺对隔离特征204具有选择性,并且基本上不蚀刻背面电介质层270。类似地,如图6B中的虚线所示,即使当掩模开口281大于接合栅极结构250的宽度或未对准时,仍然可以成功地形成引导开口282。应当注意,在所示实施例中,源极特征240S和漏极特征230D中的每一个都没有落在其上的背面导电特征,例如背面源极/漏极接触件和/或背面供电轨,因此无需担心掩模开口281可能会暴露这种导电特征并在随后的蚀刻工艺中造成蚀刻损坏。因此,可以提高背面蚀刻精确度。
然后参考图7B和图7E。随着经图案化硬掩模280就位,隔离特征204被选择性地并各向异性地蚀刻以形成引导开口282。在一些实施例中,可以使用如下干法蚀刻工艺(例如,反应离子蚀刻(RIE))来蚀刻隔离特征204:使用氯气(Cl2)、氧气(O2)、三氟化硼(BCl3)、四氟化碳(CF4)或它们的组合的干法蚀刻工艺。如图7B所示,引导开口282可以终止于栅极电介质层254、栅极间隔件216和CESL 232的面向顶部的表面,而不延伸到接合栅极结构250的栅极电极层255中。如图7E所示,由于掩模开口281不与背面电介质层270相连,所以引导开口282的宽度小于掩模开口281沿着Y方向的宽度。
参考图1和图8A-图8E,方法100包括块112,在块112中沿着引导开口282的侧壁沉积衬里284并减小引导开口282的尺寸。衬里284限定了待形成的栅极切割特征和沟道构件208之间的距离。衬里284也可以称为切割金属栅极端部帽盖层。衬里284还用于保护背面电介质层270免受块114处的蚀刻工艺的影响。衬里284可以是单层或多层。在示例工艺中,在工件200的背面之上沉积至少一种电介质材料,然后各向异性地回蚀刻所沉积的电介质材料以暴露栅极电介质层254,如图8A、图8B和图8E所示。在一些情况下,用于衬里284的至少一种电介质材料可以包括硅、氧、氮或碳。例如,至少一种电介质材料可以包括氮化硅、碳氮化硅、碳氮氧化硅、碳氧化硅或氮氧化硅。在回蚀刻工艺之后,衬里284的厚度可以在约6nm至约10nm之间。
参考图1和图9A-图9E,方法100包括块114,在块114中引导开口282被延伸穿过接合栅极结构250以形成栅极切割开口286。在块114处,执行各向异性蚀刻工艺以延伸引导开口282从而形成栅极切割开口286。在一些实施例中,栅极切割开口286终止于栅极SAC电介质层256之上或之中。如图9A、图9B和图9E所示,因为各向异性蚀刻工艺以较慢的速率蚀刻衬里284、栅极间隔件216和栅极SAC电介质层256,所以这些结构限制了块114处的蚀刻工艺并限定了栅极切割开口286的边界。在一些实现方式中,块114处的各向异性蚀刻工艺可以是如下干法蚀刻工艺(例如,反应离子蚀刻(RIE)):使用氯气(Cl2)、氧气(O2)、三氟化硼(BCl3)、四氟化碳(CF4)或它们的组合的干法蚀刻工艺。如图9A和图9E所示,栅极切割开口286将接合栅极结构250分为第一栅极分段250-1和第二栅极分段250-2。块114处的操作可以应用多于一种蚀刻工艺。例如,可以使用栅极帽盖层257作为蚀刻停止层而应用第一蚀刻工艺以选择性地去除接合栅极结构250,然后使用栅极SAC电介质层256作为蚀刻停止层而应用第二蚀刻工艺以选择性地去除栅极帽盖层257,其中第一蚀刻工艺和第二蚀刻工艺使用不同的蚀刻参数,例如使用不同的蚀刻剂。参考图9B,在所示实施例中,块114处的操作暴露栅极切割开口286的下部中的栅极间隔件216。替代地,可以在选择性蚀刻工艺中进一步去除栅极间隔件216,使得CESL 232可以在栅极切割开口286中暴露。在又一实施例中,可以在选择性蚀刻工艺中进一步去除CESL 232,使得ILD层234在栅极切割开口286中暴露。去除栅极间隔件216和/或CESL 232的一个好处是栅极切割开口286的下部可以沿着X方向扩展,从而允许(一个或多个)更大体积的气隙290(图10B和图10E)形成在栅极切割开口286中,这又进一步改善了栅极分段之间的隔离。
参考图1和图10A-图10E,方法100包括块116,在块116中在栅极切割开口286中沉积电介质材料以形成栅极切割特征288。在一些实施例中,栅极切割特征288由低k电介质材料形成以减少寄生电容。可以使用等离子体增强CVD(PECVD)、高密度等离子体CVD(HDPCVD)或CVD来沉积用于栅极切割特征288的电介质材料。在一些情况下,用于栅极切割特征288的电介质材料可以包括氮化硅、碳氮化硅、碳氮氧化硅、碳氧化硅或氮氧化硅。栅极切割特征288可以是单层或多层。当栅极切割特征288是多层时,栅极切割特征288可以包括与栅极分段接触的电介质衬里和通过电介质衬里与栅极分段间隔开的电介质填料。电介质衬里和电介质填料可以由不同的材料形成。例如,电介质衬里是无氧的,而电介质填料包括氧。针对另一示例,电介质衬里的介电常数可以大于电介质填料的介电常数。当栅极切割特征288是多层时,电介质衬里的厚度可以在约1nm至约6nm之间。块116处的操作可以包括对栅极切割特征288执行平坦化工艺,例如CMP工艺,以从工件200的背面去除过多的电介质材料并暴露背面电介质层270、隔离特征204和衬里284。
仍然参考图10A-图10E,在所示实施例中,栅极切割特征288的电介质材料还覆盖栅极切割开口286中的气隙(或空隙)290。栅极切割特征288的电介质材料的沉积也可以称为帽盖工艺(capping progress)。在一个实施例中,栅极切割特征288的电介质材料是通过PECVD工艺沉积的,这更容易使沉积中的电介质材料合并在狭窄开口的顶部。PECVD工艺中的参数(例如,压力、温度和气体粘度)以如下方式被调整:使得沉积中的电介质材料的间隙填充行为保持气隙290而不完全填充栅极切割开口286的方式。在本实施例中,PECVD工艺采用压力小于约0.75托并且温度高于约75℃的设置。因此,栅极切割特征288的电介质材料可以包围栅极切割开口286,而不会大量沉积在栅极切割开口286的下部并保持气隙290。气隙290可以从沟道区域连续地延伸到邻接的源极/漏极区域,从而提供相邻栅极分段250-1/250-2之间以及相邻源极/漏极特征之间的隔离。气体(例如在栅极切割特征288的电介质材料的沉积期间使用的(一种或多种)气体或可以扩散到气隙290中的任何其他物质)可以在气隙290中。
在如图10B所示的一个实施例中,气隙290停留在ILD层234的底表面(定义为靠近工件200的背面的表面)下方(以及栅极结构250的底表面下方)。在如图11所示的另一实施例中,气隙290可以延伸超出ILD层234的底表面(以及超出栅极结构250的底表面)。将气隙290延伸超出ILD层234底表面和栅极结构250的底表面有助于改善相邻栅极分段之间的隔离。在如图12所示的又一实施例中,代替细长的连续气隙,块116处的帽盖工艺可以产生沿着Z方向纵向地堆叠在栅极切割开口286中的一系列较小气隙290。在一些情况下,一系列较小气隙209的尺寸可以变化,例如最底部的单独气隙209具有比其他气隙小的高度。最底部的单独气隙290可以延伸超出ILD层234底表面和栅极结构250的底表面,这也提高了隔离度并降低了寄生电容。
在图10B中,栅极切割特征288包括沿着X方向设置在栅极间隔件216之间的下部和设置在衬里284之间的上部。沿着X方向,该下部包括第一宽度W1,并且该上部包括第二宽度W2。在一些情况下,第一宽度W1可以在约6nm至约22nm之间,并且第二宽度W2可以在约4nm至约22nm之间。沿着Z方向,该下部包括第一高度H1,并且该上部包括第二高度H2。第一高度H1和第二高度H2的总和可以在约10nm至约80nm之间。参考图10C,在块116处的操作结束时,背面电介质层270可以包括在约5nm至约20nm之间的第三高度H3。这种低剖面背面电介质层270主要是由于不需要容纳背面源极/漏极接触件,从而允许厚度减小约10nm至约20nm。参考图10E,沿着Y方向,栅极切割特征的下部设置在栅极分段的栅极电极部分之间,而上部设置在衬里284之间。图10A-图10E中的工件200被上下翻转。当图10A-图10E中的工件200被翻转回正面朝上位置时,背面电介质层270将在底部,而栅极SAC电介质层256将在顶部。
虽然图7A、图7B和图7E示出了引导开口282包括基本上竖直的侧壁作为块110处的操作的结果,但是具有渐缩侧壁的引导开口是可预期的。参考图16,当块110处的蚀刻工艺不是足够的各向异性和选择性时,背面电介质层270也在块110处被蚀刻,从而导致渐缩引导开口2820。参考图17,渐缩引导开口2820可能对后续工艺具有涟漪效应(rippleeffect)。如图17所示,沉积在渐缩引导开口2820和渐缩栅极切割特征2880中的衬里284也继承渐缩轮廓。当沿着X方向观察时,背面电介质层270也可以包括楔形形状。图17还示出了其中栅极切割开口或渐缩栅极切割特征2880完全延伸穿过栅极SAC电介质层256的替代实施例。如图17所示,渐缩栅极切割特征2880可以包括渐缩尖端部分,其穿透栅极SAC电介质层256进入蚀刻停止层(ESL)212和顶部层间电介质(ILD)层210。ESL 212和顶部ILD层210可以是正面互连结构的一部分。ESL 212的成分可以类似于CESL 232,并且顶部ILD层210的成分可以类似于ILD层234。如图17所示,渐缩栅极切割特征2880可以具有在约3nm至约100nm之间的过蚀刻深度D。
本公开的栅极切割特征可以跨越多于一个接合栅极结构。参考图18,可以在方法100的块110处形成跨越第一接合栅极结构2500和第二接合栅极结构2502的第一槽引导开口2820。然后参考图19,在形成衬里284之后,第一槽引导开口2820向下延伸穿过第一接合栅极结构2500和第二接合栅极结构2502以形成第一槽栅极切割开口2860。第一槽栅极切割开口2860不仅将第一接合栅极结构2500分为两个栅极分段,而且还将第二接合栅极结构2502分为两个栅极分段。在图19中表示的一些实现方式中,形成第一槽栅极切割开口2860的蚀刻工艺可以比CESL 232和ILD层234更快地蚀刻接合栅极结构。结果,可以形成电介质岛298。图19还示出了第一槽栅极切割开口2860可以包括延伸穿过栅极SAC电介质层256下方的ESL 212和顶部ILD层210的过冲部分2830。在这些替代实施例中,如图20所示,块116处的操作可以形成第一槽栅极切割特征2880,其大体跟随第一槽栅极切割开口2860的形状。当从Y方向观察时,第一槽栅极切割特征2880包括腿部300并跨越电介质岛298。第一槽栅极切割特征2880的成分可以类似于上述栅极切割特征288,其可以进一步包括(一个或多个)气隙290(未示出)。图20中的工件200被上下翻转。当图20中的工件200被翻转回正面朝上位置时,隔离特征204将在底部,而两个腿部300将指向上方。
本公开的栅极切割特征可以跨越槽源极/漏极接触件。参考图21,可以在方法100的块110处形成跨越第一接合栅极结构2500、第二接合栅极结构2502和槽源极/漏极接触件302的第二槽引导开口2822。然后参考图22,在形成衬里284之后,第二槽引导开口2822向下延伸穿过第一接合栅极结构2500和第二接合栅极结构2502以形成第二槽栅极切割开口2862。第二槽栅极切割开口2862不仅将第一接合栅极结构2500分为沿着Y方向对准的两个栅极分段,而且还将第二接合栅极结构2502分为沿着Y方向对准的两个栅极分段。在图22中表示的一些实现方式中,用于形成第二槽栅极切割开口2862的蚀刻工艺可以比槽源极/漏极接触件302更快地蚀刻接合栅极结构。结果,可以形成金属岛304。图22还示出了第二槽栅极切割开口2862可以包括延伸穿过栅极SAC电介质层256下方的ESL212和顶部ILD层210的过冲部分2830。在这些替代实施例中,如图23所示,块116处的操作可以形成第二槽栅极切割特征2882,其大体跟随第二槽栅极切割开口2862的形状。当从Y方向观察时,第二槽栅极切割特征2882包括腿部300并跨越金属岛304。第二槽栅极切割特征2882的成分可以类似于上述栅极切割特征288。图23中的工件200被上下翻转。当图23中的工件200被翻转回正面朝上位置时,隔离特征204将在底部,而两个腿部300将指向上方。
本公开的实施例提供了优点。例如,本公开的方法从工件的背面形成栅极切割特征。使用工件的背面上的结构,本公开的栅极切割开口的形成是自对准的,不依赖于光刻工艺的高分辨率或高覆盖精度。
在一个示例性方面,本公开涉及一种方法。该方法包括:提供包括正面和背面的工件,该工件包括衬底、在衬底的第一部分之上的第一多个沟道构件、在衬底的第二部分之上的第二多个沟道构件、夹在衬底的第一部分和第二部分之间的隔离特征,其中,衬底在工件的背面,并且第一多个沟道构件和第二多个沟道构件在工件的正面。该方法还包括:形成环绕第一多个沟道构件和第二多个沟道构件中的每一个的接合栅极结构,在隔离特征中形成引导开口,其中,引导开口从工件的背面暴露接合栅极结构,延伸该引导开口穿过接合栅极结构以形成将接合栅极结构分为第一栅极结构和第二栅极结构的栅极切割开口,以及将电介质材料沉积到栅极切割开口中以形成栅极切割特征。在一些实施例中,电介质材料的沉积密封了第一栅极结构和第二栅极结构之间的气隙。在一些实施例中,该方法还包括:将工件的正面接合到载体衬底,以及在形成引导开口之前,将工件翻转。在一些实施例中,该方法还包括:在形成引导开口之前,从工件的背面去除衬底的第一部分和第二部分以形成沟槽,其中,该沟槽暴露邻接第一多个沟道构件和第二多个沟道构件的源极/漏极特征;以及在源极/漏极特征上沉积背面电介质层。在一些实施例中,去除衬底的第一部分和第二部分包括:使得源极/漏极特征凹陷。在一些实施例中,该方法还包括:在延伸引导开口之前,在引导开口的侧壁之上沉积衬里层。在一些实施例中,栅极切割开口暴露了沉积在接合栅极结构的侧壁之上的栅极间隔件。在一些实施例中,该方法还包括:在沉积电介质材料之前,从栅极切割开口去除栅极间隔件。在一些实施例中,该方法还包括:在形成引导开口之前,在接合栅极结构之上形成自对准接触(SAC)层,其中,引导开口的延伸还使得引导开口延伸穿过SAC层。
在另一示例性方面,本公开涉及一种形成半导体器件的方法。该方法包括:在从衬底突出的基部之上形成多个沟道构件,该沟道构件被在垂直方向上堆叠,在基部的侧壁之上沉积隔离特征,在基部之上形成源极/漏极特征并且使该源极/漏极特征邻接沟道构件的横向端,在隔离特征之上形成栅极结构并且使该栅极结构环绕沟道构件中的每一个,蚀刻基部,从而形成从半导体器件的背面暴露源极/漏极特征和栅极结构的第一沟槽,在第一沟槽中沉积第一电介质层,蚀刻隔离特征,从而形成从半导体器件的背面暴露第一电介质层和栅极结构的第二沟槽,在第一电介质层的侧壁之上沉积第二电介质层,从而减小第二沟槽的开口尺寸,以及蚀刻栅极结构,从而使第二沟槽延伸穿过栅极结构。在一些实施例中,该方法还包括:在延伸第二沟槽之后,在第二沟槽中沉积电介质材料。在一些实施例中,电介质材料的沉积密封了第二沟槽中的空隙。在一些实施例中,空隙被横向地堆放在第二电介质层的部分之间。在一些实施例中,第一沟槽的形成包括使源极/漏极特征凹陷。在一些实施例中,该方法还包括:在延伸第二沟槽之后,从栅极结构的侧壁去除栅极间隔件,从而扩大第二沟槽的延伸部分。
在又一个示例性方面,本公开涉及一种半导体器件。该半导体器件包括:设置在第一背面电介质特征之上的第一栅极结构、设置在第二背面电介质特征之上的第二栅极结构,以及从第一栅极结构和第二栅极结构之间连续地延伸到第一背面电介质特征和第二背面电介质特征之间的栅极切割特征,其中,栅极切割特征包括第一栅极结构和第二栅极结构之间的气隙。在一些实施例中,该半导体器件还包括:设置在栅极切割特征和第一背面电介质特征之间以及栅极切割特征和第二背面电介质特征之间的衬里。在一些实施例中,气隙从第一栅极结构和第二栅极结构之间连续地延伸到第一背面电介质特征和第二背面电介质特征之间。在一些实施例中,该半导体器件还包括:从第一栅极结构的侧壁连续地延伸到第二栅极结构的侧壁的栅极间隔件。在一些实施例中,栅极切割特征与栅极间隔件实体接触。
下面给出一些示例。
示例1.一种方法,包括:
提供包括正面和背面的工件,所述工件包括衬底、在所述衬底的第一部分之上的第一多个沟道构件、在所述衬底的第二部分之上的第二多个沟道构件、夹在所述衬底的第一部分和第二部分之间的隔离特征,其中,所述衬底在所述工件的背面,并且所述第一多个沟道构件和所述第二多个沟道构件在所述工件的正面;
形成接合栅极结构,该接合栅极结构环绕所述第一多个沟道构件和所述第二多个沟道构件中的每一个;
在所述隔离特征中形成引导开口,其中,所述引导开口从所述工件的背面暴露所述接合栅极结构;
延伸所述引导开口穿过所述接合栅极结构以形成栅极切割开口,该栅极切割开口将所述接合栅极结构分为第一栅极结构和第二栅极结构;以及
将电介质材料沉积到所述栅极切割开口中以形成栅极切割特征。
示例2.根据示例1所述的方法,其中,所述电介质材料的沉积密封了所述第一栅极结构和所述第二栅极结构之间的气隙。
示例3.根据示例1所述的方法,还包括:
将所述工件的正面接合到载体衬底;以及
在形成所述引导开口之前,将所述工件翻转。
示例4.根据示例1所述的方法,还包括:
在形成所述引导开口之前,从所述工件的背面去除所述衬底的第一部分和所述衬底的第二部分以形成沟槽,其中,所述沟槽暴露邻接所述第一多个沟道构件和所述第二多个沟道构件的源极/漏极特征;以及
在所述源极/漏极特征上沉积背面电介质层。
示例5.根据示例4所述的方法,其中,去除所述衬底的第一部分和所述衬底的第二部分包括:使得所述源极/漏极特征凹陷。
示例6.根据示例1所述的方法,还包括:
在延伸所述引导开口之前,在所述引导开口的侧壁之上沉积衬里层。
示例7.根据示例1所述的方法,其中,所述栅极切割开口暴露沉积在所述接合栅极结构的侧壁之上的栅极间隔件。
示例8.根据示例7所述的方法,还包括:
在沉积所述电介质材料之前,从所述栅极切割开口去除所述栅极间隔件。
示例9.根据示例1所述的方法,还包括:
在形成所述引导开口之前,在所述接合栅极结构之上形成自对准接触SAC层,
其中,所述引导开口的延伸还使得所述引导开口延伸穿过所述SAC层。
示例10.一种形成半导体器件的方法,包括:
在从衬底突出的基部之上形成多个沟道构件,所述沟道构件被在垂直方向上堆叠;
在所述基部的侧壁之上沉积隔离特征;
在所述基部之上形成源极/漏极特征并且使所述源极/漏极特征邻接所述沟道构件的横向端;
在所述隔离特征之上形成栅极结构并且使所述栅极结构环绕所述沟道构件中的每一个;
蚀刻所述基部,从而形成从所述半导体器件的背面暴露所述源极/漏极特征和所述栅极结构的第一沟槽;
在所述第一沟槽中沉积第一电介质层;
蚀刻所述隔离特征,从而形成从所述半导体器件的背面暴露所述第一电介质层和所述栅极结构的第二沟槽;
在所述第一电介质层的侧壁之上沉积第二电介质层,从而减小所述第二沟槽的开口尺寸;以及
蚀刻所述栅极结构,从而使所述第二沟槽延伸穿过所述栅极结构。
示例11.根据示例10所述的方法,还包括:
在延伸所述第二沟槽之后,在所述第二沟槽中沉积电介质材料。
示例12.根据示例11所述的方法,其中,所述电介质材料的沉积密封了所述第二沟槽中的空隙。
示例13.根据示例12所述的方法,其中,所述空隙被横向地堆放在所述第二电介质层的部分之间。
示例14.根据示例10所述的方法,其中,所述第一沟槽的形成包括:使所述源极/漏极特征凹陷。
示例15.根据示例10所述的方法,还包括:
在延伸所述第二沟槽之后,从所述栅极结构的侧壁去除栅极间隔件,从而扩大所述第二沟槽的延伸部分。
示例16.一种半导体器件,包括:
第一栅极结构,设置在第一背面电介质特征之上;
第二栅极结构,设置在第二背面电介质特征之上;以及
栅极切割特征,从所述第一栅极结构和所述第二栅极结构之间连续地延伸到所述第一背面电介质特征和所述第二背面电介质特征之间,
其中,所述栅极切割特征包括所述第一栅极结构和所述第二栅极结构之间的气隙。
示例17.根据示例16所述的半导体器件,还包括:
衬里,该衬里被设置在所述栅极切割特征和所述第一背面电介质特征之间以及所述栅极切割特征和所述第二背面电介质特征之间。
示例18.根据示例16所述的半导体器件,其中,所述气隙从所述第一栅极结构和所述第二栅极结构之间连续地延伸到所述第一背面电介质特征和所述第二背面电介质特征之间。
示例19.根据示例16所述的半导体器件,还包括:
栅极间隔件,该栅极间隔件从所述第一栅极结构的侧壁连续地延伸到所述第二栅极结构的侧壁。
示例20.根据示例19所述的半导体器件,其中,所述栅极切割特征与所述栅极间隔件实体接触。
上文概述了若干实施例的特征,以使本领域普通技术人员可以更好地理解本公开的各方面。本领域普通技术人员应当理解,他们可以容易地使用本公开作为基础,用于设计或者修改其他工艺和结构,以实现与本文引入的实施例相同的目的和/或达到与本文引入的实施例相同的优点。本领域普通技术人员还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下进行各种改变、替换和变更。

Claims (10)

1.一种形成半导体器件的方法,包括:
提供包括正面和背面的工件,所述工件包括衬底、在所述衬底的第一部分之上的第一多个沟道构件、在所述衬底的第二部分之上的第二多个沟道构件、夹在所述衬底的第一部分和第二部分之间的隔离特征,其中,所述衬底在所述工件的背面,并且所述第一多个沟道构件和所述第二多个沟道构件在所述工件的正面;
形成接合栅极结构,该接合栅极结构环绕所述第一多个沟道构件和所述第二多个沟道构件中的每一个;
在所述隔离特征中形成引导开口,其中,所述引导开口从所述工件的背面暴露所述接合栅极结构;
延伸所述引导开口穿过所述接合栅极结构以形成栅极切割开口,该栅极切割开口将所述接合栅极结构分为第一栅极结构和第二栅极结构;以及
将电介质材料沉积到所述栅极切割开口中以形成栅极切割特征。
2.根据权利要求1所述的方法,其中,所述电介质材料的沉积密封了所述第一栅极结构和所述第二栅极结构之间的气隙。
3.根据权利要求1所述的方法,还包括:
将所述工件的正面接合到载体衬底;以及
在形成所述引导开口之前,将所述工件翻转。
4.根据权利要求1所述的方法,还包括:
在形成所述引导开口之前,从所述工件的背面去除所述衬底的第一部分和所述衬底的第二部分以形成沟槽,其中,所述沟槽暴露邻接所述第一多个沟道构件和所述第二多个沟道构件的源极/漏极特征;以及
在所述源极/漏极特征上沉积背面电介质层。
5.根据权利要求4所述的方法,其中,去除所述衬底的第一部分和所述衬底的第二部分包括:使得所述源极/漏极特征凹陷。
6.根据权利要求1所述的方法,还包括:
在延伸所述引导开口之前,在所述引导开口的侧壁之上沉积衬里层。
7.根据权利要求1所述的方法,其中,所述栅极切割开口暴露沉积在所述接合栅极结构的侧壁之上的栅极间隔件。
8.根据权利要求1所述的方法,还包括:
在形成所述引导开口之前,在所述接合栅极结构之上形成自对准接触SAC层,
其中,所述引导开口的延伸还使得所述引导开口延伸穿过所述SAC层。
9.一种形成半导体器件的方法,包括:
在从衬底突出的基部之上形成多个沟道构件,所述沟道构件被在垂直方向上堆叠;
在所述基部的侧壁之上沉积隔离特征;
在所述基部之上形成源极/漏极特征并且使所述源极/漏极特征邻接所述沟道构件的横向端;
在所述隔离特征之上形成栅极结构并且使所述栅极结构环绕所述沟道构件中的每一个;
蚀刻所述基部,从而形成从所述半导体器件的背面暴露所述源极/漏极特征和所述栅极结构的第一沟槽;
在所述第一沟槽中沉积第一电介质层;
蚀刻所述隔离特征,从而形成从所述半导体器件的背面暴露所述第一电介质层和所述栅极结构的第二沟槽;
在所述第一电介质层的侧壁之上沉积第二电介质层,从而减小所述第二沟槽的开口尺寸;以及
蚀刻所述栅极结构,从而使所述第二沟槽延伸穿过所述栅极结构。
10.一种半导体器件,包括:
第一栅极结构,设置在第一背面电介质特征之上;
第二栅极结构,设置在第二背面电介质特征之上;以及
栅极切割特征,从所述第一栅极结构和所述第二栅极结构之间连续地延伸到所述第一背面电介质特征和所述第二背面电介质特征之间,
其中,所述栅极切割特征包括所述第一栅极结构和所述第二栅极结构之间的气隙。
CN202210100872.XA 2021-01-27 2022-01-27 具有栅极切割结构的半导体器件及其形成方法 Pending CN114582804A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163142304P 2021-01-27 2021-01-27
US63/142,304 2021-01-27
US17/464,357 US12057341B2 (en) 2021-01-27 2021-09-01 Semiconductor device with gate cut structure and method of forming the same
US17/464,357 2021-09-01

Publications (1)

Publication Number Publication Date
CN114582804A true CN114582804A (zh) 2022-06-03

Family

ID=81769720

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210100872.XA Pending CN114582804A (zh) 2021-01-27 2022-01-27 具有栅极切割结构的半导体器件及其形成方法

Country Status (3)

Country Link
US (1) US12057341B2 (zh)
CN (1) CN114582804A (zh)
TW (1) TWI824373B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240079316A1 (en) * 2022-09-06 2024-03-07 International Business Machines Corporation Local enlarged via-to-backside power rail

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
WO2018182611A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
US10468527B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
KR102663192B1 (ko) * 2018-09-06 2024-05-09 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10679894B2 (en) * 2018-11-09 2020-06-09 Globalfoundries Inc. Airgap spacers formed in conjunction with a late gate cut
US11637186B2 (en) * 2018-11-20 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor having gate contact and source/drain contact separated by a gap
US10699957B2 (en) * 2018-11-27 2020-06-30 Globalfoundries Inc. Late gate cut using selective dielectric deposition
US11532744B2 (en) * 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11621197B2 (en) * 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11876119B2 (en) * 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same

Also Published As

Publication number Publication date
US12057341B2 (en) 2024-08-06
TW202234589A (zh) 2022-09-01
US20220238370A1 (en) 2022-07-28
TWI824373B (zh) 2023-12-01

Similar Documents

Publication Publication Date Title
US11239072B2 (en) Cut metal gate process for reducing transistor spacing
US11804486B2 (en) Backside power rail and methods of forming the same
CN113488465A (zh) 半导体器件结构、半导体器件及其形成方法
US11658215B2 (en) Method of forming contact structures
US20230253257A1 (en) Semiconductor device with gate cut feature and method for forming the same
CN114678328A (zh) 具有背面栅极隔离结构的半导体器件及其形成方法
CN114038800A (zh) 半导体结构的制造方法
US11152475B2 (en) Method for forming source/drain contacts utilizing an inhibitor
CN110875252A (zh) 半导体器件和制造半导体器件的方法
CN114284264A (zh) 半导体装置
KR102451356B1 (ko) 후면 게이트 콘택
US20230326983A1 (en) Method for forming source/drain contacts utilizing an inhibitor
CN114582804A (zh) 具有栅极切割结构的半导体器件及其形成方法
TWI792465B (zh) 半導體裝置、其形成方法及半導體結構
US20220285512A1 (en) Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
US12027606B2 (en) Semiconductor devices with air gate spacer and air gate cap
US20230420566A1 (en) Semiconductor device with reverse-cut source/drain contact structure and method thereof
CN116936467A (zh) 半导体结构及其形成方法
CN114927471A (zh) 半导体结构的形成方法
CN115832049A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination