TWI737296B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI737296B
TWI737296B TW109115841A TW109115841A TWI737296B TW I737296 B TWI737296 B TW I737296B TW 109115841 A TW109115841 A TW 109115841A TW 109115841 A TW109115841 A TW 109115841A TW I737296 B TWI737296 B TW I737296B
Authority
TW
Taiwan
Prior art keywords
layer
gate structure
silicon
epitaxial
source
Prior art date
Application number
TW109115841A
Other languages
English (en)
Other versions
TW202044358A (zh
Inventor
鍾政庭
蔡慶威
程冠倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202044358A publication Critical patent/TW202044358A/zh
Application granted granted Critical
Publication of TWI737296B publication Critical patent/TWI737296B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

多閘極半導體裝置及其形成方法包含在閘極與相鄰的源極/汲極部件之間形成空氣間隙。包含複數個矽層的第一鰭元件設置於基底上,第一閘極結構形成於第一鰭元件的通道區上方。形成空氣間隙使得空氣間隙設置於第一閘極結構的一部分的側壁上。磊晶源極/汲極部件鄰接空氣間隙。第一閘極結構的此部分也設置於複數個矽層的第一層與第二層之間。

Description

半導體裝置及其製造方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其製造方法。
電子產業對越來越小且更快的電子裝置的需求不斷增長,這些電子裝置能夠支持越來越多且日益複雜且尖端的功能。因此,製造低成本、高效能及低功耗的積體電路(integrated circuit,IC)為半導體產業中持續的趨勢。迄今為止,透過縮小半導體積體電路的尺寸(例如,最小部件尺寸)並由此改善生產效率並降低相關成本,在很大程度上已經實現了這些目標。然而,元件尺寸微縮化也增加了半導體製造過程的複雜性。因此,半導體積體電路和半導體裝置的持續發展的實現要求半導體製程和技術也有相似的發展。
近期,已引進多閘極裝置透過增加閘極通道耦合、降低關態電流及減少短通道效應(short-channel effects,SCEs)來改善閘極控制。一種已引進的多閘極裝置為鰭式場效電晶體(fin field-effect transistor,FinFET)。鰭式場效電晶體因其鰭狀結構而得名,鰭狀結構從形成有鰭狀結構的基板延伸而來,並用於形成場效電晶體的通道。部分引入以解決與鰭式場效電晶體的一些配置相關的效能挑戰的另一種類型的多閘極裝置為閘極環繞(gate-all-around,GAA)電晶體。閘極環繞裝置的名稱來自完全圍繞通道延伸的閘極結構,閘極環繞裝置比鰭式場效電晶體提供了更好的靜電控制。閘極環繞裝置及其製造方法與傳統互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)製程相容,且閘極環繞裝置的三維結構使得在維持閘極控制及緩和短通道效應的同時,能夠積極地將元件尺寸微縮化。一般來說,例如在鰭式場效電晶體不再滿足效能要求的情況中,可配置閘極環繞裝置。然而,閘極環繞裝置製造可具有挑戰性,且現有方法在裝置製造和效能方面都持續面臨挑戰。因此,現有技術尚未在所有方面被證明為完全令人滿意的。
在一些實施例中,提供半導體裝置的製造方法,此方法包含提供第一鰭延伸於基底之上,第一鰭具有複數個第一類型的磊晶層和複數個第二類型的磊晶層;移除第一鰭的通道區中的複數個第二類型的磊晶層的一層的第一部分,以在第一類型的磊晶層的第一層與第一類型的磊晶層的第二層之間形成間隙;在間隙中形成第一閘極結構的第一部分,且第一閘極結構的第一部分從第一類型的磊晶層的第一層的第一表面延伸至第一類型的磊晶層的第二層的第二表面;以及在形成第一閘極結構的第一部分之後,移除與第一閘極結構的第一部分相鄰的第二類型的磊晶層的此層的第二部分,以形成第一空氣間隙。
在一些其他實施例中,提供半導體裝置的製造方法,此方法包含形成第一鰭,第一鰭包含第一矽層和第二矽層;在第一矽層與第二矽層之間形成矽鍺層;移除第一鰭的第一部分,以形成溝槽;在溝槽中磊晶成長源極/汲極部件,其中源極/汲極部件與第一矽層、第二矽層和矽鍺層相接;移除矽鍺層的第一部分,以在通道區中的第一矽層與第二矽層之間提供開口;在通道區中的第一矽層與第二矽層之間的開口中形成閘極結構的第一部分;在形成閘極結構之後,移除矽鍺層的第二部分,以在源極/汲極區中的第一矽層與第二矽層之間提供空氣間隙,其中空氣間隙與源極/汲極部件、第一矽層和第二矽層相接。
在另外一些實施例中,提供半導體裝置,半導體裝置包含第一鰭元件,包含複數個矽層;第一閘極結構,位於第一鰭元件的通道區上方,其中第一閘極結構的一部分設置於矽層的第一層與第二層之間;空氣間隙,設置於第一閘極結構的此部分的側壁上;以及磊晶源極/汲極部件,鄰接空氣間隙。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
也可以注意的是,本發明實施例以多閘極電晶體的形式呈現實施例。多閘極電晶體包含電晶體的閘極結構形成於通道區的至少兩面上。這些多閘極裝置可包含P型金屬氧化物半導體多閘極裝置或N型金屬氧化物半導體多閘極裝置。本文呈現的是被稱為閘極環繞(GAA)裝置的一種多閘極電晶體的實施例。閘極環繞裝置包含具有其閘極結構或閘極結構的一部分形成於通道區的四個面上(例如圍繞通道區的一部分)的任何裝置。本文也呈現包含具有通道區設置於奈米線通道、棒狀通道及/或其他合適的通道配置中的實施例。本文呈現可具有與單一、連續的閘極結構相關聯的一個或多個通道區(例如奈米線、奈米片)的裝置的實施例。然而,本發明所屬技術領域中具通常知識者可理解本發明實施例的教示可應用於單一通道(例如單一奈米線)或任何數量的通道。本發明所屬技術領域中具通常知識者可理解半導體裝置的其他範例可受益於本發明實施例。
本發明實施例提供優於現有技術的優點,但是可以理解的是,其他實施例可提供其他優點,且本文不必須討論所有的優點,且所有的實施例不要求有特定的優點。舉例來說,本文討論的實施例包含改善閘極環繞裝置的效能和製造的方法和結構。一般來說,至少一些目前方法的一些關鍵製程挑戰包含形成Si或SiGe奈米線/奈米片、形成內部間隙壁/主要間隙壁的空氣間隙以及金屬閘極(metal gate,MG)結構。特別來說,解決了與內部間隙壁有關的問題(例如源極/汲極磊晶層中的缺陷/空隙)以增強裝置效能。依據本發明一些實施例,提供包含用於N型裝置(N型場效電晶體(N-type field effect transistors,NFETs))或P型裝置(P型場效電晶體(P-type field effect transistors,PFETs))的奈米線或奈米片裝置的製程流程和裝置結構。在一些實施例中,在基底上的N型場效電晶體和P型場效電晶體裝置皆可包含依據以下第1圖的方面所製造的奈米線或奈米片裝置。特別來說,本發明實施例的方面提供了以空氣間隙作為閘極環繞裝置的內部間隔元件的裝置及其形成方法。空氣間隙可做為合適的隔離,同時也提供一種製造方法,此方法使得源極/汲極在更有利的環境形成。透過閱讀本發明實施例,其他實施例和優點對本發明所屬技術領域中具通常知識者將是明顯的。
第1圖顯示包含多閘極裝置製造之半導體製造的方法100。如本文所用,使用術語“多閘極裝置”來描述具有至少一些閘極材料設置於裝置的至少一通道的多個面上的裝置(例如半導體電晶體)。在一些範例中,多閘極裝置可被稱為具有閘極材料設置於裝置的至少一通道的至少四個面上的閘極環繞裝置。通道區可被稱為“奈米線”,本文使用的奈米線包含各種幾何形狀(例如圓柱、棒狀、片狀)和各種尺寸的通道區。可以理解的是,方法100包含具有互補式金屬氧化物半導體(CMOS)技術製程流程的特徵的步驟,因此本文僅簡要地描述。可在方法100之前、之後及/或期間進行額外的步驟。
第2、3、4A、5A、6A、7A、8A、9A、11A、12A、13A、14A、15A、16A、17A、18A和19A圖為依據第1圖的方法100的各種階段之半導體裝置200的實施例的等角視圖。第4B、5B、6B、7B、8B、9B、9D、10A、11B、12B、13B、14B、15B、16B、17B、18B和19B為依據以上所列之對應的等角視圖,半導體裝置200沿第一切面X-X’的實施例的剖面示意圖,第一切面平行於裝置的通道。第4C、5C、6C、7C、8C、9C、9E、10B和11C圖為依據以上所列之對應的等角視圖,半導體裝置200沿第二切面Y-Y’的實施例的剖面示意圖,第二切面垂直於裝置的通道且在裝置的源極/汲極區中。可以注意的是,可繼續發生於顯示的例示性第11C圖之後的加工,以提供在切面Y-Y’中顯示於第11C圖的方面。
可以理解的是,半導體裝置200的一部分可透過互補式金屬氧化物半導體技術製程流程製造,且因此本文僅簡要地描述一些製程。再者,半導體裝置200可包含各種其他裝置和部件,例如其他類型的裝置,比如額外的電晶體、雙極性接面電晶體、電阻、電容、電感、二極體、熔絲、靜態隨機存取記憶體(static random access memory,SRAM)及/或其他邏輯電路等,但是為了更好地理解本發明實施例的發明構思而對其進行簡化。在一些實施例中,半導體裝置200包含複數個半導體裝置(例如電晶體),這些半導體裝置包含可互連的N型場效電晶體、P型場效電晶體等。再者,可以注意的是,包含參考圖式的任何描述之方法100的製程步驟僅為例示性,並不旨在限制所附請求項中所具體敘述的內容。
方法100開始於方塊102,其中提供基底。請參照第2圖的範例,在方塊102的一實施例中,提供基底202。在一些實施例中,基底202可為半導體基底,例如矽基底。基底202可包含各種層,這些層包含形成於半導體基底上的導電層或絕緣層。如本領域已知,依據設計需求,基底202可包含各種摻雜配置。舉例來說,不同的摻雜輪廓(例如N型井、P型井)可形成於基底202設計用於不同裝置類型的區域中。不同的摻雜輪廓可包含摻雜物的離子佈植及/或擴散製程。基底202一般在提供不同裝置類型的區域之間具有隔離部件(例如淺溝槽隔離(shallow trench isolation,STI)部件)。基底202也可包含其他半導體,例如鍺、碳化矽(SiC)、矽鍺(SiGe)或鑽石。或者,基底202可包含化合物半導體及/或合金半導體。再者,基底202可選擇性地包含磊晶層(epi-layer),可對基底202應變以增強效能,基底202可包含絕緣層上覆矽(silicon-on-insulator,SOI)結構及/或具有其他合適的增強部件。在方法100的一實施例中,在方塊102中,抗擊穿(anti-punch through,APT)區形成於基底202上。
請參照第1圖,方法100接著進行至方塊104,其中在基底上形成一個或多個磊晶層。請參照第2圖的範例,在方塊104的一實施例中,在基底202上方形成磊晶堆疊物204。磊晶堆疊物204包含第一組成的磊晶層206在第二組成的磊晶層208之間。第一組成和第二組成可不同。在一實施例中,磊晶層206為SiGe,且磊晶層208為矽(Si)。然而,可能有包含提供第一組成和第二組成具有不同氧化速率及/或蝕刻選擇性的其他實施例。在一些實施例中,舉例來說,當磊晶層206包含SiGe且磊晶層208包含Si時,磊晶層208的Si氧化速率小於磊晶層206的SiGe氧化速率。
磊晶層208或磊晶層208的一部分可形成半導體裝置200的閘極環繞電晶體的通道區。舉例來說,磊晶層208可被稱為用於形成閘極環繞裝置(例如N型閘極環繞裝置或P型閘極環繞裝置)的通道區的“奈米線”,如以下討論。再者,如本文所用的術語,“奈米線”代表圓柱狀的半導體層以及其他配置,例如棒狀或片狀。也就是說,本文所用的術語“奈米線”包含“奈米片”。以下進一步討論使用磊晶層208以定義裝置的一個或多個通道。
可以注意的是,第2圖顯示複數個磊晶層206和複數個磊晶層208,顯示的數量僅為顯示目的,並不旨在限制所附請求項中所具體敘述的內容。應當理解的是,任何數量的磊晶層可形成於磊晶堆疊物204中,層的數量取決於所期望之閘極環繞裝置的通道區的數量。在一些實施例中,磊晶層208的數量在2與10之間。
在一些實施例中,磊晶層206具有厚度在約4-8nm的範圍中。在一些實施例中,磊晶層206的厚度大致一致。由於磊晶層208可作為後續形成的多閘極裝置(例如閘極環繞裝置)的通道區,因此可基於裝置效能考量選擇磊晶層208的厚度。磊晶層206可作為定義後續形成的多閘極裝置的相鄰通道區之間的間隙距離,且可基於裝置效能考量選擇磊晶層206的厚度。
舉例來說,磊晶堆疊物204的層的磊晶成長可透過分子束磊晶(molecular beam epitaxy,MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他合適的磊晶成長製程來進行。在一些實施例中,磊晶成長層(例如磊晶層208)包含與基底202相同的材料。在一些實施例中,磊晶層206和208包含與基底202不同的材料。如上所述,至少在一些範例中,磊晶層206包含磊晶成長矽鍺(SiGe)層,且磊晶層208包含磊晶成長矽(Si)層。或者,在一些實施例中,磊晶層206和208的任一者可包含其他材料(例如鍺)、化合物半導體(例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(例如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP)或前述之組合。如前所述,可依據提供之不同的氧化、蝕刻速率及/或蝕刻選擇性的性質來選擇磊晶層206和208的材料。在各種實施例中,磊晶層206和208大致不含摻雜物(即具有外來的摻雜濃度在約0 cm-3 至約1x1017 cm-3 ),其中舉例來說,在磊晶成長製程期間不意圖進行摻雜。
請參照第2圖,在方塊104的一實施例中,為了將磊晶堆疊物204圖案化,可在磊晶堆疊物204上方形成硬遮罩。在一些實施例中,硬遮罩層包含氧化層(例如可包含SiO2 的墊氧化層)和形成於氧化層上方的氮化層(例如可包含Si3 N4 的墊氮化層)。在一些範例中,氧化層可包含熱成長氧化物、化學氣相沉積氧化物及/或原子層沉積氧化物,且氮化層可包含透過化學氣相沉積或其他合適技術沉積的氮化層。
接著,方法100進行至方塊106,其中進行光微影和蝕刻製程以形成鰭元件,鰭元件包含以上討論的磊晶堆疊物。請參照第3圖,方塊106的一實施例顯示了由磊晶堆疊物204和基底202形成的鰭元件(或簡稱鰭)302。
也就是說,在各種實施例中,每個鰭元件302包含由基底202形成的基底部分以及包含磊晶層206和208的磊晶堆疊物204的每個磊晶層的部分。鰭元件302可透過合適的製程製造,這些製程包含光微影和蝕刻製程。光微影製程可包含在基底202上方(例如在第2圖的半導體裝置200上方)形成光阻層,將光阻曝光成為一圖案,進行曝光後烘烤製程,以及將光阻顯影以形成包含光阻的遮罩元件。在一些實施例中,將光阻圖案化以形成遮罩元件可透過使用電子束(e-beam)微影製程進行。接著,使用遮罩元件來保護基底202的一部分以及形成於其上的層,同時蝕刻製程在未受保護的區域中形成溝槽通過磊晶層206和208並進入基底202中。溝槽可透過使用乾蝕刻(例如反應性離子蝕刻)、濕蝕刻及/或其他合適的製程來蝕刻。在各種實施例中,可以介電材料填充溝槽形成例如在鰭之間的淺溝槽隔離(STI)部件。淺溝槽隔離部件304顯示於第3圖中。
在一些實施例中,填充溝槽使用的介電層可包含SiO2 、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合及/或本領域已知的其他合適材料。在各種範例中,介電層可透過化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD,SACVD)製程、可流動化學氣相沉積製程、原子層沉積(atomic layer deposition,ALD)製程、物理氣相沉積(physical vapor deposition,PVD)製程及/或其他合適的製程沉積。在一些實施例中,在沉積介電層之後,可將半導體裝置200退火,以改善介電層的品質。在一些實施例中,淺溝槽隔離部件304可包含多層結構,例如具有一個或多個襯墊層。
在形成隔離(淺溝槽隔離)部件的一些實施例中,在沉積介電層之後,例如透過化學機械研磨(chemical mechanical polishing,CMP)製程將沉積的介電材料薄化和平坦化。化學機械研磨製程可將半導體裝置200的頂表面平坦化,以形成淺溝槽隔離部件304。在各種實施例中,接著將鰭元件302之間的溝槽隔離部件304凹陷。請參照第3圖的範例,將溝槽隔離部件304凹陷使得鰭元件302延伸於溝槽隔離部件304之上。在一些實施例中,凹陷製程可包含乾蝕刻製程、濕蝕刻製程及/或前述之組合。在一些實施例中,控制凹陷的深度(透過控制蝕刻時間),以得到所期望之鰭元件302的暴露的上部的高度。在一些實施例中,此高度暴露出磊晶堆疊物204的每一層。
也可使用方法的許多其他實施例以在基底上形成鰭,例如定義鰭區域(例如透過遮罩或隔離區)並以鰭元件的形式磊晶成長磊晶堆疊物204。在一些實施例中,形成鰭的步驟可包含修整製程以縮小鰭的寬度。修整製程可包含濕蝕刻製程或乾蝕刻製程。
接著,方法100進行至方塊114,其中在基底上形成提供犧牲層/犧牲部件的虛設閘極結構。請參照第4A、4B和4C圖,在方塊114的一實施例中,在鰭元件302上方形成閘極堆疊物402。在一實施例中,閘極堆疊物402為後續移除的虛設(犧牲)閘極堆疊物,如以下討論。
閘極堆疊物402也可定義鰭元件302的源極/汲極區,例如鰭元件與閘極堆疊物402下方的通道區相鄰且在通道區兩側的部分。第4A圖顯示分別對應於第4B和4C圖的X-X’和Y-Y’剖面示意圖的切面。可以注意的是,第4A圖為包含單一閘極堆疊物402的半導體裝置200的一部分,然而可形成任何數量的閘極堆疊物402。
在一些實施例中,閘極堆疊物402包含介電層408和電極層406。一個或多個硬遮罩層可設置於閘極堆疊物402上方。在一些實施例中,硬遮罩層包含氧化層410和氮化層412。在一些實施例中,閘極堆疊物402透過各種製程步驟形成,例如層沉積、圖案化、蝕刻以及其他合適的製程步驟。在一些範例中,層沉積製程包含化學氣相沉積(包含低壓化學氣相沉積和電漿輔助化學氣相沉積)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸鍍或其他合適的沉積技術或前述之組合。在形成閘極堆疊物的範例中,圖案化製程包含微影製程(例如光微影或電子束微影),微影製程可更包含光阻塗佈(例如旋塗)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、乾燥(例如旋乾及/或硬烤)、其他合適的微影技術及/或前述之組合。在一些實施例中,蝕刻製程可包含乾蝕刻(例如反應性離子蝕刻(reactive ion etching,RIE))、濕蝕刻及/或其他蝕刻方法。
在一些實施例中,介電層408包含氧化矽。替代地或額外地,介電層408可包含氮化矽、高介電常數介電材料或其他合適的材料。在一些實施例中,閘極堆疊物402的電極層406可包含多晶矽(polysilicon)。在一些實施例中,硬遮罩層的氧化物包含墊氧化層,墊氧化層可包含SiO2 。在一些實施例中,硬遮罩層的氮化物包含墊氮化層,墊氮化層可包含Si3 N4 、氮氧化矽或碳化矽。
接著,方法100進行至方塊116,其中在基底上沉積第一間隔材料層(有時也被稱為第一間隙壁)。第一間隔材料層可為順應性層,後續將第一間隔材料層回蝕刻以在閘極堆疊物的側壁上形成第一間隔元件。第一間隔材料層為合適的介電組成。範例的組成包含SiOC、SiO2 、SiN、SiOCN、SiON或其他合適的材料。在一實施例中,第一間隔材料層可包含非晶矽。第一間隔材料層也可被稱為拋棄或犧牲間隙壁。在一些實施例中,第一間隔材料層與閘極堆疊物直接相鄰並鄰接。
請參照第5A、5B和5C圖,在方塊116的一實施例中,可在鰭元件302和閘極堆疊物402上方沉積第一間隔材料層502。第一間隔材料層502可為非晶矽。一些其他範例包含沉積的間隔材料可包含介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN膜、碳氧化矽、SiOCN膜及/或前述之組合。在一些實施例中,第一間隔材料層包含多層,例如主要間隙壁、襯墊層和類似物。舉例來說,第一間隔材料層可透過在閘極堆疊物402上方沉積介電材料的製程形成,這些製程例如化學氣相沉積製程、次常壓化學氣相沉積(SACVD)製程、可流動化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程或其他合適的製程。
在一些實施例中,在沉積第一間隔材料層之後,進行介電間隔材料的回蝕刻(例如非等向性)。請參照第6A、6B和6C圖,在形成第一間隔材料層502之後,可將第一間隔材料層502回蝕刻以暴露出鰭元件302與閘極堆疊物402相鄰且不被閘極堆疊物402覆蓋的部分(例如源極/汲極區)。請參照第6A和6C圖,間隔層材料可保留於閘極堆疊物402的側壁上形成第一間隙壁602。在一些實施例中,第一間隔材料層502的回蝕刻可包含濕蝕刻製程、乾蝕刻製程、多步驟蝕刻製程及/或前述之組合。可從暴露的磊晶堆疊物204的頂表面和暴露的磊晶堆疊物204的橫向表面(例如與閘極堆疊物402相鄰的源極/汲極區)移除第一間隔材料層502。也可從閘極堆疊物402的頂表面移除第一間隔材料層502,如第6A和6B圖所示。
接著,方法100進行至方塊118,其中在基底上沉積第二間隔材料層(有時也被稱為第二間隙壁)。第二間隔材料層可沉積為順應性層,後續將第二間隔材料層回蝕刻以在閘極堆疊物的側壁上形成間隔元件。第二間隔材料層為合適的介電組成。包含沉積的第二間隔材料層的一些範例可包含介電材料,例如氮化矽、低介電常數介電材料、SiOCN及/或前述之組合。在一些實施例中,第二間隔材料層包含多層,例如主要間隙壁、襯墊層和類似物。舉例來說,第二間隔材料層可透過在閘極堆疊物402上方沉積介電材料的製程形成,這些製程例如化學氣相沉積製程、次常壓化學氣相沉積(SACVD)製程、可流動化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程或其他合適的製程。在一實施例中,方塊118的第二間隔材料層與方塊116的第一間隔材料層為不同的組成。舉例來說,方塊116的材料可包含組成使得選擇性蝕刻方塊116的組成至方塊118的組成(即在第一間隙壁的蝕刻移除期間保留第二間隙壁)。
請參照第7A、7B和7C圖,在方塊118的一實施例中,在閘極堆疊物402的側壁和第一間隙壁602上方形成第二間隔材料層702。在一些實施例中,在沉積第二間隔材料層之後,進行介電間隔材料的回蝕刻(例如非等向性)。請參照第8A、8B和8C圖,在形成第二間隔材料層702之後,可將第二間隔材料層702回蝕刻以暴露出鰭元件302與閘極堆疊物402相鄰且不被閘極堆疊物402覆蓋的部分(例如源極/汲極區)。第二間隔材料層702可保留於閘極堆疊物402的側壁上形成第二間隙壁802。在一些實施例中,第二間隔材料層702的回蝕刻可包含濕蝕刻製程、乾蝕刻製程、多步驟蝕刻製程及/或前述之組合。可從暴露的磊晶堆疊物204的頂表面和暴露的磊晶堆疊物204的橫向表面(例如與閘極堆疊物402相鄰的源極/汲極區)移除第二間隔材料層702。也可從閘極堆疊物402的頂表面移除第二間隔材料層702,如第8A、8B和8C圖所示。
接著,方法進行至方塊120,其中形成源極/汲極部件。起初,在方塊120的一實施例中,在形成閘極堆疊物402和第一間隙壁602之後,磊晶堆疊物204暴露於源極/汲極區中。在暴露出磊晶堆疊物204之後,在磊晶堆疊物204中蝕刻溝槽(或開口)。在一些實施例中,第二間隔材料層702的回蝕刻可與溝槽的形成同時發生。磊晶堆疊物204的蝕刻可包含濕蝕刻製程、乾蝕刻製程、多步驟蝕刻製程及/或前述之組合。在一些實施例中,使用光微影製程以定義蝕刻的區域(例如源極/汲極區)。請參照第8A、8B和8C圖,溝槽804透過方塊120的蝕刻製程形成於源極/汲極區中。如第8A、8B和8C圖所示,溝槽804具有透過磊晶堆疊物204(例如磊晶層206和208)定義的至少一側壁。此側壁可能是有利的,因為如下所述,相較於在介電材料內部間隙壁中的介電材料,磊晶材料在與半導體材料之間的界面可以更高的品質來進行成長。
請參照第9A、9B和9C圖,在方塊120的進一步的實施例中,源極/汲極部件902形成於溝槽804中與閘極堆疊物402相鄰且在閘極堆疊物402的任一側上的源極/汲極區中。在一些實施例中,源極/汲極部件902透過在源極/汲極區中的基底202上方的鰭元件302之暴露的半導體材料上磊晶成長一層半導體材料層或多層半導體材料層來形成。
在各種實施例中,成長以形成源極/汲極部件902的半導體材料層可包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適的材料。源極/汲極部件902可透過一個或多個磊晶製程形成。在一些實施例中,源極/汲極部件902可在磊晶製程期間原位摻雜。舉例來說,磊晶成長的SiGe源極/汲極部件可摻雜硼。在一些情況中,磊晶成長的Si磊晶源極/汲極部件可摻雜碳以形成Si:C源極/汲極部件,可摻雜磷以形成Si:P源極/汲極部件,或摻雜碳和磷以形成SiCP源極/汲極部件。在一些實施例中,源極/汲極部件902不原位摻雜,而是進行佈植製程來對源極/汲極部件902摻雜。在一些實施例中,對每個N型和P型源極/汲極部件,可在個別製程順序中進行在基底202上形成不同的源極/汲極部件902。
在一實施例中,半導體裝置200為P型場效電晶體,且提供適用於P型場效電晶體的源極/汲極作為源極/汲極部件902。在一實施例中,如第9B和9C圖所示,源極/汲極部件902具有第一層902A和第二層902B。在一實施例中,第一層902A為磊晶成長矽。第一層902A可摻雜p型摻雜物,例如硼。因此,在一範例中,第一層902A為Si:B。在一實施例中,第二層902B為磊晶成長矽鍺(SiGe)。第二層902B也可合適地摻雜p型摻雜物,例如硼。因此,在一範例中,第二層902B為SiGe:B。因此,在一實施例中,半導體裝置200為P型場效電晶體,且源極/汲極部件902包含第一層902A(Si)和第二層902B(SiGe)。在一實施例中,第一層902A具有厚度在約1nm與約5nm之間。可以注意的是,在一些實施例中,第一層902A作為蝕刻例如磊晶層206(例如矽鍺)的一部分期間的蝕刻保護層。因此,可選擇厚度以提供足夠的保護,同時維持源極/汲極效能。第一層902A和第二層902B可在磊晶成長製程期間原位形成。
在一實施例中,半導體裝置200為N型場效電晶體,且源極/汲極部件902可包含有著合適摻雜(例如摻雜n型摻雜物,例如磷)的磊晶成長矽(Si)。在一實施例中,如第9D和9E圖所示,源極/汲極部件902為單一層。在一實施例中,半導體裝置200為N型場效電晶體,且源極/汲極部件902為單一層的Si:P。N型場效電晶體的半導體裝置200和P型場效電晶體的半導體裝置200可相似地形成於基底202上。舉例來說,第9B和9C圖的例示性實施例與第9D和9E圖的例示性實施例可形成於相同基底上。可以注意的是,在以下步驟中的一些圖式顯示兩層磊晶層(例如第一層902A和第二層902B),然而,在其他實施例中,單一磊晶層可用於源極/汲極部件902。
接著,方法100進行至方塊122,其中一個或多層介電層可形成例如接觸蝕刻停止層(contact etch stop layer,CESL)及/或層間介電層(inter-layer dielectric,ILD)。請參照第10A和10B圖以及第11A、11B和11C圖的範例,在方塊122的一實施例中,在基底202上方形成層間介電層1004。在一些實施例中,在形成層間介電層1004之前,在基底202上方形成接觸蝕刻停止層1002。在一些範例中,接觸蝕刻停止層1002包含氮化矽層、氧化矽層、氮氧化矽層及/或本領域已知的其他材料。接觸蝕刻停止層1002可透過電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)製程及/或其他合適的沉積或氧化製程形成。在一些實施例中,層間介電層1004包含材料例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。層間介電層1004可透過電漿輔助化學氣相沉積製程或其他合適的沉積技術沉積。
在一些範例中,在沉積層間介電層1004(及/或接觸蝕刻停止層1002或其他介電層)之後,可進行平坦化製程以暴露出閘極堆疊物402的頂表面。舉例來說,平坦化製程包含化學機械研磨製程,化學機械研磨製程移除層間介電層1004(以及如果有的接觸蝕刻停止層1002)在閘極堆疊物402上方的部分,並將半導體裝置200的頂表面平坦化。此外,化學機械研磨製程可移除在閘極堆疊物402上方的硬遮罩層(包含氧化層410和氮化層412),以暴露出下方的電極層406,例如虛設閘極的多晶矽電極層。
方法100進行至方塊124,其中移除上述的虛設閘極。在一些範例中,移除虛設閘極的蝕刻製程可包含濕蝕刻、乾蝕刻或前述之組合。請參照第12A和12B圖的範例,已移除閘極堆疊物402並留下溝槽開口1202。溝槽開口1202可具有由第一間隙壁602定義的側壁,並暴露出磊晶堆疊物204的通道區。
在暴露通道區之後,在一些範例中,在方塊124的一實施例中,提供選擇性移除閘極環繞裝置的通道區中的磊晶層。在一些實施例中,在透過移除虛設閘極電極所提供的溝槽開口中移除鰭元件中選擇的磊晶層(例如鰭上或上方將形成閘極結構或通道區的區域)。請參照第13A和13B圖的範例,移除磊晶層206的一部分,特別為從基底202的通道區和溝槽開口1202中移除。在一些實施例中,磊晶層206透過選擇性濕蝕刻製程移除。在一些實施例中,選擇性濕蝕刻包含氨及/或臭氧。僅作為一範例,選擇性濕蝕刻包含氫氧化四甲銨(tetra-methyl ammonium hydroxide,TMAH)。在一實施例中,磊晶層206為SiGe,且磊晶層208為矽,使得能夠選擇性移除SiGe磊晶層206。可以注意的是,在方塊124的過渡製程階段期間(例如第13A和13B圖),在通道區中的相鄰奈米線之間提供間隙1308(例如在磊晶層208之間的間隙1308)。可以周圍環境條件(例如空氣、氮氣等)填充間隙1308。此外,間隙1308延伸以鄰接磊晶層206在第一間隙壁602下方的部分。可以注意的是,形成間隙1308之磊晶層206的橫向蝕刻可例如由基於時間的蝕刻控制,使得間隙1308大致與第一間隙壁602呈一直線延伸。
接著,方法100進行方塊126,其中形成閘極結構。形成的閘極結構可為高介電常數/金屬閘極堆疊物,然而可能為其他組成。在一些實施例中,閘極結構可形成有著透過多個通道的閘極,多個通道由裝置(半導體裝置200)的通道區中的複數個奈米線(現在具有間隙1308於其間的磊晶層208)提供。
請參照第14A和14B圖的範例,在方塊126的一實施例中,在開口中的閘極環繞裝置的溝槽中形成閘極介電質,此開口透過虛設閘極的移除及/或奈米線的釋放提供,如以上參考方塊124所述。在各種實施例中,閘極介電質包含界面層(interfacial layer,IL)1302和形成於界面層1302上方的閘極介電層1304(有時被稱為高介電常數閘極介電層)。本文使用和描述的高介電常數閘極介電質包含具有高介電常數的介電材料,例如大於熱氧化矽的介電常數。
在一些實施例中,界面層1302可包含介電材料,例如氧化矽(SiO2 )、HfSiO或氮氧化矽(SiON)。界面層1302可透過化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法形成。閘極介電層1304可包含高介電常數介電層,例如氧化鉿(HfO2 )。或者,閘極介電層1304可包含其他高介電常數介電質,例如TiO2 、HfZrO、Ta2 O3 、HfSiO4 、ZrO2 、ZrSiO2 、LaO、AlO、ZrO、TiO、Ta2 O5 、Y2 O3 、SrTiO3 (STO)、BaTiO3 (BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3 (BST)、Al2 O3 、Si3 N4 、氮氧化物 (SiON)、前述之組合或其他合適的材料。閘極介電層1304可透過原子層沉積、物理氣相沉積(PVD)、化學氣相沉積、氧化及/或其他合適的方法形成。
在方塊126的進一步實施例中,在閘極環繞裝置的閘極介電層1304上方形成包含金屬層1306的金屬閘極電極。金屬層1306可包含金屬、金屬合金或金屬矽化物。此外,閘極介電質/金屬閘極堆疊物的形成可包含沉積以形成各種閘極材料以及一個或多個襯墊層,以及一個或多個化學機械研磨製程以移除多餘的閘極材料,進而將半導體裝置200的頂表面平坦化。
在一些實施例中,金屬層1306可包含單一層或者為多層結構,例如有著選擇的功函數以增強裝置效能的金屬層(功函數金屬層)、襯墊層、潤濕層、黏著層、金屬合金或金屬矽化物的各種組合。舉例來說,金屬層1306可包含Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他合適的金屬材料或前述之組合。在各種實施例中,金屬層1306可透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍或其他合適的製程形成。再者,金屬層1306可透過使用不同的金屬層個別地用於N型場效電晶體和P型場效電晶體形成。在各種實施例中,可進行化學機械研磨製程,以例如從沉積於層間介電層1004上方的金屬層1306移除多餘的金屬,進而提供金屬層1306的大致平坦頂表面。此外,金屬層1306可提供N型或P型功函數,可作為電晶體(例如閘極環繞)閘極電極,且至少在一些實施例中,金屬層1306可包含多晶矽層。閘極結構包含在每個磊晶層208之間的部分,這些部分可各自形成閘極環繞裝置的通道。包含界面層1302、閘極介電層1304和金屬層1306的閘極結構可被統稱為閘極結構1300。
接著,方法100進行至方塊128,其中移除與閘極結構相鄰的第一間隙壁(請參考方塊126)。移除第一間隙壁以形成間隙區,可以周圍環境條件(例如空氣、氮氣等)填充間隙。第一間隙壁可透過合適的蝕刻製程移除,例如濕蝕刻或乾蝕刻製程。在一些實施例中,用於移除第一間隙壁的蝕刻製程對第一間隙壁的材料有選擇性,以留下第二間隙壁和下方的半導體材料而不會大量蝕刻。
請參照第15A和15B圖,移除第一間隙壁602以形成開口1402。如圖所示,開口1402可包含由第二間隙壁802和閘極結構1300(例如閘極介電層1304和界面層1302)定義的側壁。
接著,方法100進行至方塊130,其中在與閘極環繞的通道區相鄰且在通道與源極/汲極部件之間形成內部間隙壁。內部間隙壁可形成為空氣間隙或一般為間隙區,可以周圍環境條件(例如空氣、氮氣等)填充間隙區。間隙區可透過移除奈米線/奈米片與閘極堆疊物相鄰的部分來形成。此移除可透過合適的濕蝕刻或乾蝕刻製程來進行。與閘極堆疊物相鄰的半導體材料的移除可透過以上方塊128所述之由第一間隙壁的移除所提供的開口來有效地完成。
請參照第16A和16B圖的實施例的範例,空氣間隙1602透過選擇性移除磊晶層206的一部分來形成。在一實施例中,空氣間隙1602透過應用對磊晶層206的矽鍺組成有選擇性的蝕刻劑來形成。
在一些實施例中,舉例來說,半導體裝置200包含P型場效電晶體裝置,P型場效電晶體裝置具有有著第一層902A和第二層902B的磊晶源極/汲極。在一些實施例中,第二層902B為矽鍺(例如摻雜p型摻雜物)。第一層902A作為移除磊晶層206期間的蝕刻停止層,第一層902A為不同組成,例如摻雜矽。在其他實施例中,源極/汲極部件902為單一組成,例如摻雜矽,且提供以移除磊晶層206的蝕刻劑不顯著地蝕刻源極/汲極部件902(消除了對例如第一層902A的襯墊的需求)。
可以空氣填充空氣間隙1602,空氣提供介電常數約1。空氣間隙1602具有與閘極結構1300相接的側壁以及與源極/汲極部件902相接的相對側壁。因此,空氣間隙1602的介電本質使得閘極結構1300與源極/汲極部件902之間有著較小寄生電容。空氣間隙1602更具有與磊晶層208相接的頂表面和底表面,磊晶層208形成半導體裝置200的通道。
接著,方法100進行至方塊132,其中沉積用於密封層的材料。密封層的材料可為矽基介電層。例示性的組成包含SiOC、SiO2 、SiN、SiOCN、SiON及/或其他合適的介電組成,但不限於此。接著,可回蝕刻密封層的材料,使密封層具有頂表面與閘極堆疊物的頂表面共平面。在一實施例中,進行化學機械研磨製程以提供平坦的頂表面。
請參照第17A和17B圖的範例,在基底202上沉積密封層1702的材料。密封層1702延伸至與閘極結構1300相鄰的開口1402中。第18A和18B圖顯示將形成密封層1702的材料平坦化之後的裝置。密封層1702作為開口1402的帽蓋。在一實施例中,密封層1702的高度在約2nm與約30nm之間。在一實施例中,密封層1702的寬度在約1nm與約8nm之間。密封層1702的提供在與主要閘極結構1300(例如在磊晶堆疊物204之上的閘極結構)相鄰處提供空氣間隙1502。
半導體裝置200可經歷進一步的加工,以形成本領域已知的各種部件和區域。舉例來說,後續加工可在基底202上形成接觸開口、接觸金屬以及各種接點/導通孔/導線和多層互連部件(例如金屬層和層間介電質),多層互連部件被配置為連接各種部件以形成可包含一個或多閘極裝置的功能電路。進一步舉例來說,多層互連部件可包含垂直互連部件(例如導通孔或接點)和水平互連部件(例如金屬線)。各種互連部件可應用各種導電材料,導電材料包含銅、鎢及/或矽化物。在一範例中,使用鑲嵌及/或雙鑲嵌製程以形成銅相關的多層互連結構。再者,可在方法100之前、期間及之後進行額外的製程步驟,且可依據方法100的各種實施例取代或消除上述的一些製程步驟。請參照第19A和19B圖的範例,接點1902形成連接至源極/汲極部件902。在一些實施例中,接點1902移除層間介電層1004,使得暴露出接觸蝕刻停止層1002。在其他實施例中,接點1902形成於層間介電層1004中,使得層間介電層1004的一部分保留在接點1902與接觸蝕刻停止層1002之間。
請參照第20A和20B圖,其顯示裝置2000A和裝置2000B的剖面示意圖。裝置2000A和2000B可大致透過使用第1圖的方法100的實施例形成,且可大致相似於上述的半導體裝置200。特別來說,參考以上所述的半導體裝置200,裝置2000A和2000B中的相似組件透過使用相似的參考符號來標註。裝置2000A和2000B可形成於單一基底202上,且互連以形成積體電路。在一實施例中,裝置2000A提供P型場效電晶體,且裝置2000B提供N型場效電晶體。
在一實施例中,空氣間隙1502的高度H可在約2nm與約30nm之間。在一實施例中,空氣間隙1502的寬度W可在約1nm與約8nm之間。空氣間隙1502可包含空氣。空氣間隙1502的寬度可足夠進行磊晶層208的移除以形成空氣間隙1602。高度H可使得提供足夠厚度的密封層1702來維持空氣間隙1502而不致塌陷。
在一實施例中,空氣間隙1602(內部間隙壁)也可包含空氣。空氣間隙1602可具有寬度W2在約2nm與約10nm之間。在一實施例中,空氣間隙1602具有高度H2在約2nm與約10nm之間。空氣間隙1602的尺寸可依據通道的尺寸來控制。
在一實施例中,密封層1702也可包含介電材料,例如SiOC、SiO2 、SiN、SiOCN、SiON。密封層1702可具有寬度W3在約1nm與約8nm之間。在一實施例中,密封層1702可具有高度H3在約2nm與約30nm之間。
在一實施例中,第二間隙壁802也可包含介電材料,例如SiN、SiOCN或低介電常數材料(例如摻雜氧化矽)。第二間隙壁802可具有寬度W4在約2nm與約8nm之間。第二間隙壁802的厚度可取決於源極/汲極部件與通道區之間的所期望位移。舉例來說,較小的寬度W4可導致短通道效應,因為源極/汲極太靠近通道。較大的寬度W4可導致裝置的高電阻,因為源極/汲極太遠離通道。
在一實施例中,接觸蝕刻停止層1002也可包含介電材料,例如SiN、SiOCN和類似物。接觸蝕刻停止層1002可具有寬度在約2nm與約8nm之間。接觸蝕刻停止層1002的寬度由第二間隙壁802與層間介電層1004之間的距離來決定。
參考本文提供的描述,本發明實施例透過形成與閘極堆疊物相鄰的空氣間隙結構,以提供改善閘極環繞裝置的效能和製造過程的方法和結構。特別來說,本發明實施例的方面透過提供與閘極堆疊物相鄰的內部間隙壁,其中內部間隙壁為空氣間隙,以提供製程流程簡化和效能最佳化。有著低介電常數的內部間隙壁可有利於降低閘極至源極/汲極寄生電容,以增加運作速度。然而,成長磊晶材料與此間隙壁相鄰而具有異質表面可為不利的。舉例來說,沿此界面成長可導致將缺陷引入磊晶成長材料層。在本文的一些實施例中,由於間隙壁在源極/汲極之後形成,且空氣間隙位於可已於其他實施例中形成內部間隙壁的位置,因此避免了在介電材料(例如氧化物)內部間隙壁相鄰處成長磊晶源極/汲極材料有關的各種挑戰。透過避免磊晶材料中的缺陷,可提供改善之源極/汲極區的應變效率,進而增加裝置效能。因為透過奈米線釋放蝕刻(方塊124)來控制空氣間隙內部間隙壁的寬度,也可進行包含上述之在閘極與源極/汲極之間形成空氣間隙的製程,以提供製程控制。本發明所屬技術領域中具通常知識者將理解本文所述的方法和結構可應用於各種其他半導體裝置,以在不脫離本發明實施例的範圍的情況下,有利地在此類其他裝置實現相似優點。
因此,本發明實施例的其中之一描述多閘極半導體裝置的製造方法,此方法包含提供第一鰭延伸於基底之上。第一鰭可具有複數個第一類型的磊晶層和複數個第二類型的磊晶層。移除第一鰭的通道區中的複數個第二類型的磊晶層的一層的第一部分,以在第一類型的磊晶層的第一層與第一類型的磊晶層的第二層之間形成間隙。在間隙中形成第一閘極結構的第一部分,且第一閘極結構的第一部分從第一類型的磊晶層的第一層的第一表面延伸至第一類型的磊晶層的第二層的第二表面。在形成第一閘極結構的第一部分之後,移除與第一閘極結構的第一部分相鄰的第二類型的磊晶層的此層的第二部分,以形成第一空氣間隙。
在一實施例中,此方法更包含在第一鰭的通道區上方形成虛設閘極結構,移除第一鰭的源極/汲極區,以形成與虛設閘極結構相鄰的開口,以及在開口中形成源極/汲極部件。源極/汲極部件可與第二類型的磊晶層的此層的第二部分相接。在另一實施例中,此方法更包含在第一鰭的通道區上方形成虛設閘極結構,形成第一間隔元件與虛設閘極結構相鄰,以及在形成第一閘極結構的第一部分之後以及在移除第二類型的磊晶層的此層的第二部分之前,移除第一間隔元件以形成第二空氣間隙。在進一步的實施例中,此方法包含在第二空氣間隙上方形成密封層。形成密封層的步驟包含在第二空氣間隙上方沉積介電層,且介電層與第一閘極結構相接。在進一步的實施例中,密封層具有頂表面與第一閘極結構的頂表面共平面,且密封層具有底表面與第二空氣間隙相接。
在一實施例中,此方法也包含形成磊晶源極/汲極元件。第一空氣間隙與磊晶源極/汲極元件相接。在一實施例中,形成磊晶源極/汲極元件的步驟在移除第二類型的磊晶層的此層的第一部分之前進行。在一實施例中,形成磊晶源極/汲極元件的步驟包含磊晶成長具有第一組成的磊晶材料的第一層以及具有第二組成的磊晶材料的第二層,第一組成與第二組成不同。在進一步的實施例中,第一組成包含有著第一摻雜類型的矽,且第二組成包含有著第一摻雜類型的矽鍺。在另一實施例中,提供第一鰭的方法包含透過成長矽層來磊晶成長第一類型的磊晶層;以及透過成長矽鍺層來磊晶成長第二類型的磊晶層。
在本文描述的另一個更廣泛的實施例中,所提供的半導體裝置的製造方法包含形成第一鰭,第一鰭包含第一矽層和第二矽層,並在第一矽層與第二矽層之間形成矽鍺(SiGe)層。此方法繼續以包含移除第一鰭的第一部分,以形成溝槽。在溝槽中磊晶成長源極/汲極部件,其中源極/汲極部件與第一矽層、第二矽層和矽鍺層相接。移除矽鍺層的第一部分,以在通道區中的第一矽層與第二矽層之間提供開口。接著,在通道區中的第一矽層與第二矽層之間的開口中形成閘極結構的第一部分。在形成閘極結構之後,此方法包含移除矽鍺層的第二部分,以在源極/汲極區中的第一矽層與第二矽層之間提供空氣間隙。空氣間隙與源極/汲極部件、第一矽層和第二矽層相接。
在進一步的實施例中,移除矽鍺層的第二部分透過與閘極結構相鄰的第二開口來進行。在一實施例中,第二開口透過移除與閘極結構的第二部分鄰接的間隔元件來形成。閘極結構的第二部分設置於第一矽層、第二矽層和閘極結構的第一部分之上。在一實施例中,此方法更包含在與閘極結構的第二部分相鄰的第二開口上方形成密封層,以在與閘極結構的第二部分相鄰處形成另一個空氣間隙。在進一步的實施例中,形成源極/汲極部件的步驟包含成長包含矽的第一層和包含矽鍺的第二層。
在另一個更廣泛的實施例中,多閘極半導體裝置包含:第一鰭元件,包含複數個矽層;第一閘極結構,位於第一鰭元件的通道區上方;空氣間隙,設置於第一閘極結構的一部分的側壁上;以及磊晶源極/汲極部件,鄰接空氣間隙。第一閘極結構的此部分設置於複數個矽層的第一層與第二層之間。
在進一步的實施例中,另一個空氣間隙與第一閘極結構的第二部分相鄰。另一個空氣間隙在複數個矽層的每一層之上。介電密封層可設置於另一個空氣間隙上方。空氣間隙鄰接磊晶源極/汲極部件的第一層,磊晶源極/汲極部件包含第一層和第二層。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:方法 102,104,106,114,116,118,120,122,124,126,128,130,132,134:方塊 200:半導體裝置 202:基底 204:磊晶堆疊物 206,208:磊晶層 302:鰭元件 304:淺溝槽隔離部件 402:閘極堆疊物 406:電極層 408:介電層 410:氧化層 412:氮化層 502:第一間隔材料層 602:第一間隙壁 702:第二間隔材料層 802:第二間隙壁 804:溝槽 902:源極/汲極部件 902A:第一層 902B:第二層 1002:接觸蝕刻停止層 1004:層間介電層 1202:溝槽開口 1300:閘極結構 1302:界面層 1304:閘極介電層 1306:金屬層 1308:間隙 1402:開口 1502,1602:空氣間隙 1702:密封層 1902:接點 H1,H2,H3:高度 W,W2,W3,W4:寬度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖為依據本發明實施例所提供之製造多閘極裝置或多閘極裝置的一部分的方法的流程圖。 第2、3、4A、5A、6A、7A、8A、9A、11A、12A、13A、14A、15A、16A、17A、18A和19A圖為依據第1圖的方法的實施例的方面之半導體裝置200的實施例的等角視圖。 第4B、4C、5B、5C、6B、6C、7B、7C、8B、8C、9B、9C、9D、9E、10B、11B、11C、12B、13B、14B、15B、16B、17B、18B和19B為依據第1圖的方法的方面之半導體裝置200的實施例的剖面示意圖。 第20A和20B圖為依據本發明實施例的方面之裝置2000A和2000B的實施例的剖面示意圖。
208:磊晶層
802:第二間隙壁
902:源極/汲極部件
1002:接觸蝕刻停止層
1300:閘極結構
1502,1602:空氣間隙
1702:密封層
1902:接點

Claims (15)

  1. 一種半導體裝置的製造方法,包括:提供一第一鰭延伸於一基底之上,該第一鰭具有複數個第一類型的磊晶層和複數個第二類型的磊晶層;移除該第一鰭的一通道區中的該複數個第二類型的磊晶層的一層的一第一部分,以在該複數個第一類型的磊晶層的一第一層與該複數個第一類型的磊晶層的一第二層之間形成一間隙;在該間隙中形成一第一閘極結構的一第一部分,且該第一閘極結構的該第一部分從該複數個第一類型的磊晶層的該第一層的一第一表面延伸至該複數個第一類型的磊晶層的該第二層的一第二表面;以及在形成該第一閘極結構的該第一部分之後,移除與該第一閘極結構的該第一部分相鄰的該複數個第二類型的磊晶層的該層的一第二部分,以形成一第一空氣間隙。
  2. 如請求項1之半導體裝置的製造方法,更包括:在該第一鰭的該通道區上方形成一虛設閘極結構;移除該第一鰭的一源極/汲極區,以形成與該虛設閘極結構相鄰的一開口;以及在該開口中形成一源極/汲極部件,其中該源極/汲極部件與該複數個第二類型的磊晶層的該層的該第二部分相接。
  3. 如請求項1或2之半導體裝置的製造方法,更包括:在該第一鰭的該通道區上方形成一虛設閘極結構;形成一第一間隔元件與該虛設閘極結構相鄰;以及 在形成該第一閘極結構的該第一部分之後以及在移除該複數個第二類型的磊晶層的該層的該第二部分之前,移除該第一間隔元件以形成一第二空氣間隙。
  4. 如請求項3之半導體裝置的製造方法,更包括:在該第二空氣間隙上方形成一密封層。
  5. 如請求項4之半導體裝置的製造方法,其中形成該密封層的步驟包含在該第二空氣間隙上方沉積一介電層,且該介電層與該第一閘極結構相接。
  6. 如請求項5之半導體裝置的製造方法,其中該密封層具有一頂表面與該第一閘極結構的一頂表面共平面,且該密封層具有一底表面與該第二空氣間隙相接。
  7. 如請求項1之半導體裝置的製造方法,更包括:形成一磊晶源極/汲極元件,其中該第一空氣間隙與該磊晶源極/汲極元件相接。
  8. 如請求項7之半導體裝置的製造方法,其中形成該磊晶源極/汲極元件的步驟在移除該複數個第二類型的磊晶層的該層的該第一部分之前進行。
  9. 如請求項7之半導體裝置的製造方法,其中形成該磊晶源極/汲極元件的步驟包含磊晶成長具有一第一組成的磊晶材料的一第一層以及具有一第二組成的磊晶材料的一第二層,該第一組成與該第二組成不同。
  10. 一種半導體裝置的製造方法,包括:形成一第一鰭,該第一鰭包含一第一矽層和一第二矽層;在該第一矽層與該第二矽層之間形成一矽鍺層; 移除該第一鰭的一第一部分,以形成一溝槽;在該溝槽中磊晶成長一源極/汲極部件,其中該源極/汲極部件與該第一矽層、該第二矽層和該矽鍺層相接;移除該矽鍺層的一第一部分,以在一通道區中的該第一矽層與該第二矽層之間提供一開口;在該通道區中的該第一矽層與該第二矽層之間的該開口中形成一閘極結構的一第一部分;在形成該閘極結構之後,移除該矽鍺層的一第二部分,以在一源極/汲極區中的該第一矽層與該第二矽層之間提供一空氣間隙,其中該空氣間隙與該源極/汲極部件、該第一矽層和該第二矽層相接。
  11. 如請求項10之半導體裝置的製造方法,其中移除該矽鍺層的該第二部分透過與該閘極結構相鄰的一第二開口來進行。
  12. 如請求項11之半導體裝置的製造方法,其中該第二開口透過移除與該閘極結構的一第二部分鄰接的一間隔元件來形成,其中該閘極結構的該第二部分設置於該第一矽層、該第二矽層和該閘極結構的該第一部分之上。
  13. 一種半導體裝置,包括:一第一鰭元件,包含複數個矽層;一第一閘極結構,位於該第一鰭元件的一通道區上方,其中該第一閘極結構的一部分設置於複數個矽層的一第一層與一第二層之間;一空氣間隙,設置於該第一閘極結構的該部分的一側壁上;以及一磊晶源極/汲極部件,鄰接該空氣間隙。
  14. 如請求項13之半導體裝置,更包括: 一第二空氣間隙,與該第一閘極結構的一第二部分相鄰,該第二空氣間隙在該複數個矽層的每一層之上。
  15. 如請求項13或14之半導體裝置,其中該空氣間隙鄰接該磊晶源極/汲極部件的一第一層,該磊晶源極/汲極部件包含該第一層和一第二層。
TW109115841A 2019-05-30 2020-05-13 半導體裝置及其製造方法 TWI737296B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/426,114 2019-05-30
US16/426,114 US10879379B2 (en) 2019-05-30 2019-05-30 Multi-gate device and related methods

Publications (2)

Publication Number Publication Date
TW202044358A TW202044358A (zh) 2020-12-01
TWI737296B true TWI737296B (zh) 2021-08-21

Family

ID=73264398

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115841A TWI737296B (zh) 2019-05-30 2020-05-13 半導體裝置及其製造方法

Country Status (5)

Country Link
US (2) US10879379B2 (zh)
KR (3) KR102271583B1 (zh)
CN (1) CN112018178B (zh)
DE (1) DE102019116606B4 (zh)
TW (1) TWI737296B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620590B1 (en) * 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11257681B2 (en) * 2019-07-17 2022-02-22 International Business Machines Corporation Using a same mask for direct print and self-aligned double patterning of nanosheets
KR20210027643A (ko) * 2019-08-30 2021-03-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11205711B2 (en) * 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
CN114038911A (zh) * 2021-10-20 2022-02-11 中国科学院微电子研究所 一种半导体器件及其制备方法、电子设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170154968A1 (en) * 2015-11-27 2017-06-01 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20170200738A1 (en) * 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
KR100942965B1 (ko) 2007-05-07 2010-02-17 주식회사 하이닉스반도체 스트레인드채널을 갖는 반도체소자 및 그 제조 방법
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9679978B2 (en) * 2015-09-24 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10535747B2 (en) * 2015-12-23 2020-01-14 Intel Corporation Transistor with dual-gate spacer
KR102343470B1 (ko) * 2016-01-28 2021-12-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102384818B1 (ko) * 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9847392B1 (en) * 2016-10-11 2017-12-19 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10008603B2 (en) * 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10269983B2 (en) 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10269965B1 (en) * 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10553696B2 (en) 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10679906B2 (en) * 2018-07-17 2020-06-09 International Business Machines Corporation Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
US10679894B2 (en) * 2018-11-09 2020-06-09 Globalfoundries Inc. Airgap spacers formed in conjunction with a late gate cut
KR20200137259A (ko) * 2019-05-29 2020-12-09 삼성전자주식회사 집적회로 소자

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170154968A1 (en) * 2015-11-27 2017-06-01 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20170200738A1 (en) * 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof

Also Published As

Publication number Publication date
KR20220054777A (ko) 2022-05-03
CN112018178B (zh) 2024-05-07
KR102271583B1 (ko) 2021-07-05
US20200381530A1 (en) 2020-12-03
DE102019116606A1 (de) 2020-12-03
KR20210080308A (ko) 2021-06-30
KR102622311B1 (ko) 2024-01-05
KR20200138635A (ko) 2020-12-10
US10879379B2 (en) 2020-12-29
DE102019116606B4 (de) 2022-06-23
TW202044358A (zh) 2020-12-01
US20200381531A1 (en) 2020-12-03
US11158728B2 (en) 2021-10-26
CN112018178A (zh) 2020-12-01

Similar Documents

Publication Publication Date Title
US10790280B2 (en) Multi-gate device and method of fabrication thereof
US11355611B2 (en) Multi-gate device and method of fabrication thereof
US10157799B2 (en) Multi-gate device and method of fabrication thereof
US11955554B2 (en) Method of fabricating a multi-gate device
TWI737296B (zh) 半導體裝置及其製造方法
US11276695B2 (en) Multi-gate device and related methods
US11121036B2 (en) Multi-gate device and related methods
TWI804735B (zh) 半導體裝置及其製造方法
US11854908B2 (en) Multi-gate device and related methods
US20170345936A1 (en) Finfet and method of fabrication thereof
TW202029460A (zh) 半導體裝置的製造方法
US20230262950A1 (en) Multi-gate device and related methods