CN111863712A - 半导体结构和形成半导体结构的方法 - Google Patents

半导体结构和形成半导体结构的方法 Download PDF

Info

Publication number
CN111863712A
CN111863712A CN202010268658.6A CN202010268658A CN111863712A CN 111863712 A CN111863712 A CN 111863712A CN 202010268658 A CN202010268658 A CN 202010268658A CN 111863712 A CN111863712 A CN 111863712A
Authority
CN
China
Prior art keywords
metal
containing layer
dielectric layer
layer
chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010268658.6A
Other languages
English (en)
Inventor
萧茹雄
蔡俊雄
万幸仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/735,137 external-priority patent/US11232943B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111863712A publication Critical patent/CN111863712A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

形成半导体结构的方法包括接收具有衬底、位于衬底上方的导电部件以及位于导电部件上方的介电层的结构。该方法还包括在介电层中形成孔以暴露导电部件;在孔的侧壁上形成第一含金属层;并且在孔中形成由第一含金属层围绕的第二含金属层。第一含金属层和第二含金属层包括不同的材料。该方法还包括施加第一化学物质以使介电层凹进,从而使得第一和第二含金属层的顶部突出在介电层之上;并且将具有氟或氯的第二化学物质施加到第一含金属层的顶部,以将第一含金属层的顶部转化为金属氟化物或金属氯化物。本发明的实施例还涉及半导体结构。

Description

半导体结构和形成半导体结构的方法
技术领域
本发明的实施例涉及半导体结构和形成半导体结构的方法。
背景技术
半导体集成电路(IC)工业经历了指数型增长。IC材料和设计中的技术进步已经产生了多代IC,每一代都比上一代具有更小且更复杂的电路。在IC发展的过程中,功能密度(即,每芯片面积的互连器件的数量)已经普遍增大,而几何尺寸(即,使用制造工艺可产生的最小组件(或线))已经减小。这种按比例缩小的工艺通常通过提高生产效率和降低相关成本来提供收益。这种按比例缩小也增加了处理和制造IC的复杂性。
例如,当在IC中形成互连结构(包括接触件、通孔、引线等)时,金属元素可能会从其预期位置扩散和/或迁移。这可能由于对金属层实施的蚀刻工艺、化学机械平坦化(CMP)工艺或其它工艺而发生。那些扩散的金属元素可能导致紧密放置的导电部件之间发生短路,诸如在源极/漏极接触件和附近的栅极接触件之间或两条相邻的金属线之间。需要能够消除那些金属扩散的方法。
发明内容
本发明的一些实施例提供了一种形成半导体结构的方法,包括:接收具有衬底、位于所述衬底上方的导电部件以及位于所述导电部件和所述衬底上方的介电层的结构;在所述介电层中形成孔,所述孔暴露所述导电部件;在所述孔的至少侧壁上形成第一含金属层;在所述孔中形成由所述第一含金属层围绕的第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料;施加第一化学物质以使所述介电层凹进,从而使得所述第一含金属层的顶部和所述第二含金属层的顶部突出在所述介电层之上;以及将具有氟或氯的第二化学物质施加到所述第一含金属层的所述顶部,以将所述第一含金属层的所述顶部转化为金属氟化物或金属氯化物。
本发明的另一些实施例提供了一种形成半导体结构的方法,包括:接收具有衬底、位于所述衬底上方的导电部件以及位于所述导电部件和所述衬底上方的介电层的结构,所述介电层具有氧化硅;在所述介电层中蚀刻孔,所述孔暴露所述导电部件;在所述孔的底部和侧壁上沉积第一含金属层,所述第一含金属层具有过渡金属或过渡金属氮化物;在所述孔中、所述第一含金属层上方和所述介电层上方沉积第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料;对所述第二含金属层实施化学机械平坦化(CMP)工艺以暴露所述介电层;施加第一化学物质以使所述介电层凹进,从而使得所述第一含金属层的顶部和所述第二含金属层的顶部突出在所述介电层之上;以及将具有氟或氯的第二化学物质施加到所述第一含金属层的所述顶部,以将所述第一含金属层的所述顶部转化为过渡金属氟化物或过渡金属氯化物。
本发明的又一些实施例提供了一种半导体结构,包括:衬底;导电部件,位于所述衬底上方;介电层,位于所述导电部件和所述衬底上方;以及结构,设置在所述导电部件上方并且至少部分地由所述介电层围绕,其中,所述结构包括第一含金属层和由所述第一含金属层围绕的第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料,其中,所述第一含金属层的下部包括过渡金属或过渡金属氮化物,并且所述第一含金属层的上部包括过渡金属氟化物或过渡金属氯化物。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明。应该强调,根据工业中的标准实践,各个部件未按比例绘制,并且仅用于说明目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A、图1B和图1C示出了根据本发明的各个方面的在半导体器件中形成互连结构和/或部件的方法的流程图。
图2A、图2B、图2C、图2D、图2E、图2F、图2G、图2H、图3A、图3B、图3C、图3D、图3E、图3F和图3G示出了根据实施例的在根据图1A至图1C的方法的制造工艺期间的半导体结构的截面图。
图2I和图3H示出了根据实施例的在根据图1A至图1C的方法的制造工艺期间的半导体结构的顶视图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。更进一步地,当用“约”、“近似”等描述数量或数量范围时,除非另有说明,否则该术语旨在涵盖在该数量的+/-10%以内的数量。例如,术语“约5nm”包含从4.5nm至5.5nm的尺寸范围。
本发明总体上涉及半导体器件和制造方法,并且更具体地涉及在半导体器件中制造互连结构。互连结构可以包括嵌入在一个或多个介电层中或由一个或多个介电层围绕的第一导电部件,以及设置在第一导电部件上方并且电连接到第一导电部件的第二导电部件。第一和第二导电部件可以包括源极/漏极电极、栅电极、源极/漏极接触件(或接触插塞)、源极/漏极接触通孔(或通孔插塞)、栅极通孔、其它通孔、金属线和其它导电元件。可以通过在介电层中蚀刻孔以暴露第一导电部件,在孔中沉积一种或多种金属材料并且通过蚀刻或CMP工艺去除过量的金属材料来制造互连结构。在蚀刻或CMP工艺期间,金属残留物可能扩散或迁移,如果处理不当,可能会导致短路或其它制造缺陷。本发明的目的是用一些化学物质处理这种金属残留物以产生稳定的金属化合物。
具体地,本发明的实施例可以将金属或金属氮化物沉积为IC互连件中的部分导电部件,并且进一步应用含氟或氯的化学物质将金属或金属氮化物的残留物转化为金属氟化物或金属氯化物。金属氟化物和金属氯化物是稳定的(例如,它们不与周围的介电层中的氧反应)。因此,防止了由于扩散的金属元素引起的制造缺陷。本发明的一些实施例在CMP工艺之后使用两步清洁和蚀刻工艺。第一步施加浓度相对较低的第一化学物质以使介电层凹进,而第二步施加浓度相对较高的第二化学物质以与金属反应。这两步共同去除金属残留物并且产生稳定的金属化合物。参考图1A至图1C、图2A至图2I和图3A至图3H进一步讨论本发明的各个方面。
图1A至图1C示出了根据实施例的用于形成半导体器件100的方法10的流程图。方法10仅是实例,并且不旨将在本发明限制在权利要求书中明确记载的范围之外。可以在方法10之前、期间和之后提供其它操作,并且对于该方法的其它实施例,可以替换、消除或移动所描述的一些操作。下面结合图2A至图3H描述方法10,图2A至图3H示出了在根据方法10的制造步骤期间半导体器件100的各个截面图和顶视图。此外,半导体器件100可以是在集成电路(IC)或其部分的工艺期间制造的中间器件,该中间器件可以包括静态随机存取存储器(SRAM)、其它存储单元和/或其它逻辑电路,无源组件(诸如电阻器、电容器和电感器)以及有源组件(诸如p型场效应晶体管(PFET)、n型FET(NFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、FinFET、全环栅(GAA)纳米线晶体管、GAA纳米片晶体管、其它多栅极晶体管、双极晶体管、高压晶体管、高频晶体管)以及它们的组合。
参考图1A,在操作12中,方法10提供或提供有包括衬底以及位于衬底上方的各个部件和层的器件结构100。器件结构100的实例在图2A中示出。参考图2A,结构100包括衬底110和内置在衬底110中或上的各个组件,各个组件包括晶体管沟道114、位于晶体管沟道114上方的栅极结构120、位于栅极结构120的侧壁上的栅极间隔件150、位于晶体管沟道114的两侧并且与栅极间隔件150相邻的源极/漏极部件160以及介电层170和240。介电层170设置在源极/漏极部件160上方以及栅极间隔件150之间。介电层240设置在介电层170、栅极结构120和栅极间隔件150上方。器件结构100可以包括图2A中未示出的其它组件(诸如源极/漏极部件160上方的接触蚀刻停止层)。下面进一步描述结构100的各个组件。
在本实施例中,衬底110是硅衬底,诸如硅晶圆。可选地,衬底110可以包括另一半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和锑化铟;合金半导体,包括硅锗、磷砷化镓、磷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟和磷砷化镓铟;或它们的组合。
晶体管沟道114和源极/漏极部件160可以形成在结构100的有源区域(未标记)中或上。有源区域可以具有平面形状(用于平面MOSFET)、诸如鳍(用于FinFET)或垂直堆叠的多个半导体层(用于GAA FET)的三维形状或其它合适的形状。晶体管沟道114可以包括硅、锗、硅锗或其它合适的半导体材料;并且可以掺杂或不掺杂。源极/漏极部件160可以包括轻掺杂源极/漏极(LDD)部件、重掺杂源极/漏极(HDD)部件或其它掺杂结构。源极/漏极部件160可以包括用于NFET器件的n型掺杂硅、用于PFET器件的p型掺杂硅锗或其它掺杂半导体材料。此外,源极/漏极部件160可以包括外延生长的半导体材料,或者以其它方式升高或受应力以用于性能增强。特别地,源极/漏极部件160是导电的。
栅极结构120可以包括多晶硅栅极、高k金属栅极或其它合适的栅极结构,其通常包括位于栅极介电层上方的栅极导体。图2A所示的实例包括高k金属栅极结构。如图所示,栅极结构120包括高k介电层122、功函金属层124、金属填充层126和覆盖层(或硬掩模层)128。在一些实施例中,栅极结构120可以包括在图2A中未示出的其它层(诸如界面层和/或阻挡层)。高k介电层122可以包括一种或多种高k介电材料(或一个或多个高k介电材料层),诸如氧化硅铪(HfSiO)、氧化铪(HfO2)、氧化铝(Al2O3)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)或它们的组合。可以使用原子层沉积(ALD)、化学汽相沉积(CVD)、物理汽相沉积(PVD)或其它沉积技术来形成高k介电层122。功函金属层124可以包括p型或n型功函层,这取决于器件的类型(PFET或NFET)。p型功函层可以包括氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、钨(W)、铂(Pt)、其它合适的金属或它们的组合。n型功函层可以包括钛(Ti)、铝(Al)、碳化钽(TaC)、碳氮化钽(TaCN)、氮化钽硅(TaSiN)、氮化钛硅(TiSiN)、其它合适的金属或它们的组合。功函金属层124可以通过ALD、CVD、PVD或其它技术形成。金属填充层126可以包括铝(Al)、钨(W)、钴(Co)和/或其它合适的材料;并且可以通过ALD、CVD、PVD、镀或其它技术形成。覆盖层128可以包括氮化硅或其它合适的介电材料;并且可以通过CVD、PVD、ALD或其它技术形成。
栅极间隔件150可以包括介电材料,诸如氧化硅、氮化硅、氮氧化硅、碳化硅、其它介电材料或它们的组合,并且可以包括一个或多个材料层。栅极间隔件150可以通过CVD、PVD、ALD或其它技术形成。
介电层170可以包括氧化硅、氮氧化硅、正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅酸盐玻璃(BPSG)、氟硅酸盐玻璃(FSG)、磷硅酸盐玻璃(PSG))、其它低k介电材料和/或其它合适的介电材料。介电层170可以通过等离子体增强CVD(PECVD)、可流动CVD(FCVD)或其它合适的方法形成。介电层170可以被称为ILD-0层,其中ILD代表层间介电层。
当介电层240沉积在ILD-0层170上方时,其可以被称为ILD-1层。介电层170和240可以包括相同或相似的材料。例如,介电层240可以包括氧化硅、氮氧化硅、TEOS氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如BPSG、FSG、PSG)、其它低k介电材料和/或其它合适的介电材料。介电层240可以通过PECVD、FCVD或其它合适的方法形成。器件结构100可以包括位于介电层240和其下方的结构之间的接触蚀刻停止层(CESL)。CESL可以包括氮化硅、氮氧化硅、具有氧(O)或碳(C)元素的氮化硅和/或其它材料;并且可以通过CVD、PVD、ALD或其它合适的方法形成。
在操作14中,方法10(图1A)在介电层240和170中蚀刻孔242以暴露源极/漏极部件160。在一些实施例中,孔242相对于顶视图具有椭圆形、圆形、矩形和/或圆化矩形。所得结构100在图2B中示出。在实施例中,操作14包括光刻工艺和一个或多个蚀刻工艺。例如,光刻工艺可以包括在结构100上方沉积一个或多个硬掩模层,在硬掩模层上方涂覆光刻胶层,图案化光刻胶层以形成抗蚀剂蚀刻掩模,通过抗蚀剂蚀刻掩模蚀刻硬掩模层以及介电层240和170,并且随后去除抗蚀剂蚀刻掩模和硬掩模层。蚀刻工艺可以包括干蚀刻、湿蚀刻、反应离子蚀刻(RIE)和/或被设计为蚀刻介电层240和170中的材料的其它合适的工艺。例如,干蚀刻工艺可以实施含氧气体、含氟气体(例如,CF4、SF6、CH2F2、CHF3和/或C2F6)、含氯气体(例如,Cl2、CHCl3、CCl4和/或BCl3)、含溴气体(例如,HBr和/或CHBR3)、含碘气体、其它合适的气体和/或等离子体和/或它们的组合。例如,湿蚀刻工艺可以包括在稀释氢氟酸(HF);氢氧化钾(KOH)溶液;氨;含氢氟酸(HF)、硝酸(HNO3)和/或乙酸(CH3COOH)的溶液;或其它合适的湿蚀刻剂中的蚀刻。源极/漏极部件160的顶部也可以通过操作14蚀刻。孔242有时被称为源极/漏极接触孔。
在操作16中,方法10(图1A)在孔242中形成含金属层300。所得结构100在图2C中示出。参考图2C,至少在孔242的侧壁上形成含金属层300。在本实施例中,含金属层300也形成在孔242的底面上以及介电层240的顶面上。可以使用CVD、PVD、ALD或其它合适的技术来形成含金属层300,并且在一些实施例中可以形成为具有基本上均匀的厚度(即,共形的)或在可选实施例中形成为具有不均匀的厚度。含金属层300可以包括金属层、金属氮化物层或它们的组合。在实施例中,含金属层300包括过渡金属、过渡金属氮化物或它们的组合。例如,含金属层300可以包括钛(Ti)、氮化钛(TiN)或它们的组合。在一些实施例中,含金属层300可以包括钴(Co)、镍(Ni)、铌(Nb)、钌(Ru)、铑(Rh)、钨(W)和铼(Re)中的一种,或这些金属中的一种的氮化物。
在操作18中,方法10(图1A)对结构100进行退火,以在源极/漏极部件160上方形成硅化物302。所得结构100在图2D中示出。例如,操作18可以在合适的温度下实施合适的持续时间,以引起含金属层300和源极/漏极部件160中的半导体材料之间的反应,从而形成硅化物302。例如,当含金属层300包括钛时,操作18可以包括在约700℃至800℃下进行1秒至240秒的快速热退火(RTA)以形成TiSi和/或TiSi2。在各个实施例中,含金属层300的在孔242的底面处的部分可以完全或部分地转换成硅化物302。操作18可以使用RTA、毫秒退火(MSA)或其它退火技术。
在操作20中,方法10(图1A)沉积另一含金属层304以填充孔242的剩余空间。参考图2E,含金属层304沉积在硅化物302上方和含金属层300上方。在本实施例中,含金属层304也沉积在介电层240上的部分含金属层300上方。含金属层304可以包括W、Co、Ru、其它金属、金属氮化物(诸如TiN、氮化钛铝(TiAlN)、氮化钨(WN)、氮化钽(TaN))或它们的组合。可以通过CVD、PVD、镀和/或其它合适的工艺来形成含金属层304。
在操作22中,方法10(图1A)实施CMP工艺以部分地去除(或减薄)含金属层304并且暴露介电层240。介电层240也可以通过CMP工艺部分地去除。所得结构100在图2F中示出。在该制造阶段,结构100的顶面是基本平坦的。然而,一些金属残留物(或颗粒)可能出现在介电层240的顶面上。此外,来自含金属层300的一些金属残留物可能出现在含金属层304的顶面上。由于这些金属残留物中可能含有与氧非常易反应的过渡金属,如果不去除,它们可能与介电层240或沉积在层240和304的顶部上的其它介电层中的氧元素反应,从而引起制造缺陷。
在操作24中,方法10(图1B)施加第一化学物质305以使介电层240凹进。因此,含金属层300的顶部和含金属层304的顶部在介电层240之上延伸,如图2G所示。在实施例中,化学物质305包括较低浓度的稀释氢氟酸(HF)。例如,稀释HF酸在去离子(DI)水中的氟化氢(HF)浓度可以为约0.1%或更低。以这种浓度的稀释HF酸能够使介电层240(在实例中主要是二氧化硅)凹进,但是不能与含金属层300和304较好地反应。在另一实施例中,化学物质305包括较低浓度的稀释盐酸(HCl)(或氯烷)。例如,稀释HCl酸在DI水中的氯化氢(HCl)浓度可以为约0.1%或更低。在一些实施例中,操作24还可以去除介电层240的顶部上的一些金属残留物。介电层240可以凹进几纳米,诸如约2nm。凹槽的深度由第一化学物质305的浓度、操作24的持续时间和其它因素决定。例如,操作24可以施加浓度为0.1%的稀释HF酸约从6至18秒,以使介电层240凹进约从1至5nm。在一些实施例中,将凹槽的深度控制为小于5nm,以避免介电层240的太多损失。
在操作26中,方法10(图1B)将第二化学物质307施加到含金属层300的暴露顶部,并且将其转换为金属化合物308。所得结构100在图2H和图2I中示出。第二化学物质307被设计为有效地将含金属层300的暴露的顶部转化为稳定的金属化合物308,而不会显著地使介电层240凹进。在本实施例中,第二化学物质307包括氟或氯成分并且金属化合物308分别包括金属氟化物或金属氯化物。在介电层240的顶面上存在金属残留物的实施例中,第二化学物质307还将那些金属残留物转化成稳定的金属化合物,诸如金属氟化物或金属氯化物。化学物质307还可与含金属层304反应以在含金属层304的顶面上产生一些金属化合物。那些金属化合物将在随后的工艺中去除(例如,如图3B所示,当在含金属层304上方蚀刻通孔时)。
在实施例中,第二化学物质307具有与第一化学物质305相同的成分,但是具有较高的化学物质浓度。较低浓度的化学物质305设计为使得化学物质305可以使介电层240(例如,主要具有二氧化硅)凹进,但不能与含金属层300(例如,具有过渡金属或过渡金属氮化物)较好地反应。较高浓度的化学物质307设计为与含金属层300较好地反应。
例如,化学物质305和307都可以是稀释HF酸,但与化学物质305相比,化学物质307在DI水中的HF浓度更高。例如,化学物质307在DI水中的HF浓度比化学物质305至少高10倍。在至少一个实例中,化学物质307在DI水中的HF浓度为约1%或更高,而化学物质305在DI水中的HF浓度为约0.1%或更低。浓度为1%或更高的稀释HF酸与含金属层300较好地反应。然而,它也蚀刻介电层240。为了避免介电层240的太多损失,在一些实施例中,将化学物质307在DI水中的HF浓度设计为约1%至2%。在这些实例中,金属化合物308包括金属氟化物。例如,当含金属层300包括钛时,金属化合物308包括氟化钛(例如,TiF3)。
对于另一实例,化学物质305和307都可以是稀释HCl酸,但是化学物质307在DI水中的HCl浓度比化学物质305高。例如,化学物质307在DI水中的HCl浓度可能比化学物质305高至少10倍。在至少一个实例中,化学物质307在DI水中的HCl浓度为约1%或更多(诸如约1%至2%),而化学物质305在DI水中的HCl浓度为约0.1%或更少。在这些实例中,金属化合物308包括金属氯化物。例如,当含金属层300包括钛时,金属化合物308包括氯化钛(例如,TiCl3)。
在另一实施例中,两种化学物质305和307具有不同的成分,其中化学物质305被设计为对介电层240比对含金属层300具有更高的蚀刻选择性(更高的蚀刻速率),而化学物质307被设计成对含金属层30比对介电层240具有更高的蚀刻选择性。例如,化学物质305可以是较低浓度的稀释HF酸,诸如0.1%或更低,而化学物质307可以是较高浓度的稀释HCl酸,诸如浓度为1%或更高(诸如约1%至2%)。在该实例中,化学物质305与含金属层300不能较好地反应,但是仍然有效地蚀刻介电层240。同时,化学物质307与含金属层300较好地反应,但是它也轻微蚀刻介电层240。在该实例中,金属化合物308包括金属氯化物。例如,当含金属层300包括钛时,金属化合物308包括氯化钛(例如,TiCl3)。
图2I示出了在该制造阶段的器件100的局部顶视图。如图所示,金属化合物308围绕含金属层304。在该实例中,金属化合物308和含金属层304两者均具有大致圆形的形状。然而,在各个实施例中,它们可以具有其它形状,诸如大致矩形或不规则形状。金属化合物308在化学上非常稳定并且不与氧反应。介电层240的顶面基本上不含任何反应性金属元素,诸如过渡金属或过渡金属氮化物。因此,通过在操作22中实施CMP工艺之后的操作24和26,方法10有效地从介电层240的顶面去除任何反应性金属元素,从而防止上述金属扩散缺陷。此外,包括含金属层300和304以及金属化合物308的结构成为源极/漏极接触件(或接触插塞)310。如图2H所示,源极/漏极接触件310的下部包括由含金属层300围绕的含金属层304的下部,并且源极/漏极接触件310的上部包括由金属化合物308围绕的含金属层304的上部。源极/漏极接触件310的上部在介电层240的顶面之上延伸。源极/漏极接触件310设置在硅化物302正上方,硅化物302设置在源极/漏极部件160上方。
方法10可以继续在器件100上构建互连结构。例如,方法10可以通过实施图1B和图1C所示的操作28至40来产生设置在源极/漏极接触件310上方的通孔结构。操作28至40的许多方面类似于上述操作12至26。因此,下面结合图3A至图3H简要讨论操作28至40。
在操作28中,方法10(图1B)在介电层240和源极/漏极接触件310上方形成另一介电层400。所得结构100在图3A中示出。介电层400可以包括与介电层240相同或相似的材料。在实施例中,介电层400可以包括氧化硅、氮氧化硅、TEOS氧化物、未掺杂硅酸盐玻璃或掺杂氧化硅(诸如BPSG、FSG、PSG)、其它低k介电材料和/或其它合适的介电材料。介电层400可以通过PECVD、FCVD或其它合适的方法形成。器件结构100可以包括位于介电层400与介电层240和源极/漏极接触件310之间的接触蚀刻停止层(CESL)。在一些实施例中,介电层400可以称为ILD-2层。
在操作30中,方法10(图1B)在介电层400中蚀刻通孔402以暴露其下方的一些导电部件,诸如源极/漏极接触件310和/或栅极结构120。所得结构100在图3B中示出。参考图3B,通孔402暴露源极/漏极接触件310,以形成源极/漏极接触通孔。虽然未示出,但是可以在栅极结构120的正上方蚀刻一些通孔402以形成栅极通孔。操作30类似于以上讨论的操作14。例如,操作30可以包括光刻工艺以形成蚀刻掩模,和蚀刻工艺以通过蚀刻掩模蚀刻介电层400。
在操作32中,方法10(图1B)在通孔402中形成含金属层404。所得结构100在图3C中示出。参考图3C,在通孔402的底面和侧壁上以及介电层400的顶面上形成含金属层404。可以使用CVD、PVD、ALD或其它合适的技术来形成含金属层404,并且在一些实施例中可以形成为具有基本上均匀的厚度(即,共形的)或在可选实施例中形成为具有不均匀的厚度。含金属层404可以包括金属层、金属氮化物层或它们的组合。在实施例中,含金属层404包括过渡金属、过渡金属氮化物或它们的组合。例如,含金属层404可以包括钽(Ta)、氮化钽(TaN)或它们的组合。操作32的各个方面类似于操作16的那些。
在操作34中,方法10(图1C)形成含金属层406以填充通孔402的剩余空间。参考图3D,含金属层406沉积在含金属层404上方。含金属层406可以包括铜或其它金属,诸如W、Co或Ru;可以通过CVD、PVD、镀和/或其它合适的工艺形成。操作34的各个方面类似于操作20的那些。
在操作36中,方法10(图1C)实施CMP工艺以部分地去除(或减薄)含金属层406并且暴露介电层400。介电层400也可以通过CMP工艺被部分地去除。所得结构100在图3E中示出。操作36的各个方面类似于操作22的那些。在该制造阶段,结构100的顶面是基本平坦的。然而,一些金属残留物(或颗粒)可能会出现在介电层400的顶部。由于这些金属残留物中可能含有与氧非常易反应的过渡金属,如果不去除,它们可能与介电层400或沉积在层400和406的顶部上的层中的氧元素反应以引起制造缺陷。
在操作38中,方法10(图1C)施加第三化学物质405以使介电层400凹进。因此,含金属层404的顶部和含金属层406的顶部在介电层400之上延伸,如图3F所示。操作38的各个方面类似于操作24的那些。化学物质405可以被设计为与以上讨论的化学物质305相同或相似。例如,化学物质405可以包括较低浓度(诸如约0.1%或更低)的稀释HF酸或稀释HCl酸。
在操作40中,方法10(图1C)将第四种化学物质407施加到含金属层404的暴露顶部,并且将其转化为金属化合物408。所得结构100在图3G和图3H中示出。操作40的各个方面类似于操作26的那些。化学物质407可被设计为与以上讨论的化学物质307相同或相似。例如,化学物质407可包括相对较高浓度(诸如约1%或更高或约1%至2%)的稀释HF酸或稀释HCl酸。金属化合物408可以包括金属氟化物或金属氯化物。例如,当含金属层404包括钽时,金属化合物408可以包括氟化钽(例如,TaF5)或氯化钽(例如,TaCl3)。
在实施例中,化学物质405可以是较低浓度的稀释HF酸,诸如0.1%或更低。而化学物质407可以是较高浓度的稀释HCl酸,诸如1%或更高(诸如1%至2%)。在该实例中,化学物质405与含金属层404不能较好地反应,但是仍然有效地蚀刻介电层400。同时,化学物质407与含金属层404较好地反应,但是它也会轻微蚀刻介电层400。在该实例中,金属化合物408包括金属氯化物,诸如氯化钽(例如,TaCl3)。
图3H示出了在该制造阶段的器件100的局部顶视图。如图所示,金属化合物408围绕含金属层406。在该实例中,金属化合物408和含金属层406两者均具有大致圆形的形状。然而,在各个实施例中,它们可以具有其它形状,诸如大致矩形或不规则形状。金属化合物408在化学上非常稳定并且不与氧反应。介电层400的顶面基本上没有任何反应性金属元素,诸如过渡金属或过渡金属氮化物。因此,通过在操作36中的CMP工艺之后实施操作38和40,方法10有效地从介电层400的表面去除任何反应性金属元素,从而防止上述金属扩散缺陷。此外,包括含金属层404和406以及金属化合物408的结构成为源极/漏极接触通孔410。如图3G所示,源极/漏极接触通孔410的下部包括由含金属层404围绕的含金属层406的下部,并且源极/漏极接触通孔410的上部包括由金属化合物408围绕的含金属层406的上部。源极/漏极接触通孔410的上部在介电层400的顶面之上延伸。虽然未示出,但是器件结构100可以包括设置在栅极结构120上方并且电连接至栅极结构120的栅极通孔,其中栅极通孔具有与源极/漏极接触通孔410相似的结构。
在操作42中,方法10(图1C)实施进一步的工艺以完成器件100的制造。例如,方法10可以形成连接到源极/漏极接触通孔410和栅极通孔的引线,并且可以重复上述操作以形成更高层级的互连结构。
虽然不旨在限制,但是本发明的一个或多个实施例为半导体器件及其形成提供了许多益处。例如,本发明的实施例提供了通过两步清洁(或蚀刻)工艺从介电层去除金属残留物的方法。清洁工艺会将反应性金属残留物转化为稳定的金属化合物,以防止金属扩散制造缺陷。此外,本发明的实施例可以容易地集成到现有的半导体制造工艺中。
在一个方面,本发明针对方法。该方法包括接收具有衬底、位于衬底上方的导电部件以及位于导电部件和衬底上方的介电层的结构。该方法还包括在介电层中形成孔,该孔暴露导电部件;在孔的至少侧壁上形成第一含金属层;在孔中形成由第一含金属层围绕的第二含金属层,其中,第一和第二含金属层包括不同的材料;施加第一化学物质以使介电层凹进,从而使第一含金属层的顶部和第二含金属层的顶部突出在介电层之上;以及将具有氟或氯的第二化学物质施加到第一含金属层的顶部,以将第一含金属层的顶部转化为金属氟化物或金属氯化物。
在一些实施例中,第一化学物质包括稀释氢氟酸(HF),而第二化学物质包括稀释盐酸(HCl)。在另一实施例中,稀释氢氟酸在去离子水中的HF浓度为约0.1%或更低,而稀释盐酸在去离子水中的HCl浓度为约1%或更高。
在实施例中,第一含金属层包括过渡金属、过渡金属氮化物或它们的组合。在另一实施例中,过渡金属或过渡金属氮化物包括Ti、Co、Ni、Nb、Ru、Rh、W和Re中的一种。
在又一实施例中,第二含金属层的形成包括在介电层上方沉积第二含金属层。该方法还包括对第二含金属层施加化学机械平坦化(CMP)工艺以暴露介电层。
在该方法的实施例中,第一化学物质包括在去离子水中具有约0.1%或更少的第一HF浓度的稀释氢氟酸(HF),而第二化学物质包括在去离子水中具有第二HF浓度的另一稀释HF酸,并且第二浓度比第一浓度高至少10倍。在另一实施例中,第二浓度为约1%至2%。
在实施例中,介电层包括氧化硅。在导电部件包括掺杂半导体的实施例中,该方法还包括在形成第一含金属层之后,对第一含金属层和导电部件进行退火,以在第一含金属层和导电部件之间产生金属硅化物。
在另一方面,本发明针对方法。该方法包括接收具有衬底、位于衬底上方的导电部件以及位于导电部件和衬底上方的介电层的结构,该介电层具有氧化硅。该方法还包括在介电层中蚀刻孔,该孔暴露导电部件;在孔的底部和侧壁上沉积第一含金属层,该第一含金属层具有过渡金属或过渡金属氮化物;在孔中、第一含金属层上方和介电层上方沉积第二含金属层,其中第一含金属层和第二含金属层包括不同的材料;对第二含金属层实施化学机械平坦化(CMP)工艺以暴露介电层;施加第一化学物质以使介电层凹进,从而使第一含金属层的顶部和第二含金属层的顶部突出在介电层之上;并且将具有氟或氯的第二化学物质施加到第一含金属层的顶部,以将第一含金属层的顶部转化为过渡金属氟化物或过渡金属氯化物。
在该方法的实施例中,第一化学物质包括稀释氢氟酸(HF),而第二化学物质包括稀释盐酸(HCl)。在另一实施例中,稀释HF酸在去离子水中的HF浓度为0.1%或更低,而稀释HCl酸在去离子水中的HCl浓度为1%至2%。
在该方法的又一实施例中,第一化学物质包括在去离子水中的第一HF浓度为约0.1%或更低的稀释氢氟酸(HF),而第二化学物质包括在去离子水中的第二HF浓度为约1%至2%的另一稀释HF酸。在又一实施例中,第一含金属层包括Ti、TiN、Ta和TaN中的一种。
在另一方面,本发明针对半导体结构。该半导体结构包括衬底、位于衬底上方的导电部件;位于导电部件和衬底上方的介电层;以及设置在导电部件上方并且至少部分地由介电层围绕的结构。该结构包括第一含金属层和由第一含金属层围绕的第二含金属层。第一和第二含金属层包括不同的材料。第一含金属层的下部包括过渡金属或过渡金属氮化物,并且第一含金属层的上部包括过渡金属氟化物或过渡金属氯化物。
在半导体结构的实施例中,第一含金属层的下部包括钛或氮化钛,并且第一含金属层的上部包括氟化钛或氯化钛。在半导体结构的又一实施例中,第一含金属层的下部包括钽或氮化钽,并且第一含金属层的上部包括氟化钽或氯化钽。
在实施例中,第一含金属层包括Ti或Ta,并且第二含金属层包括W、Co、Ru或Cu。在实施例中,半导体结构还包括位于导电部件和第一含金属层之间的金属硅化物。
上面内容概述了若干实施例的特征,使得本领域普通技术人员可以更好地理解本发明的方面。本领域普通技术人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域普通技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成半导体结构的方法,包括:
接收具有衬底、位于所述衬底上方的导电部件以及位于所述导电部件和所述衬底上方的介电层的结构;
在所述介电层中形成孔,所述孔暴露所述导电部件;
在所述孔的至少侧壁上形成第一含金属层;
在所述孔中形成由所述第一含金属层围绕的第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料;
施加第一化学物质以使所述介电层凹进,从而使得所述第一含金属层的顶部和所述第二含金属层的顶部突出在所述介电层之上;以及
将具有氟或氯的第二化学物质施加到所述第一含金属层的所述顶部,以将所述第一含金属层的所述顶部转化为金属氟化物或金属氯化物。
2.根据权利要求1所述的方法,其中,所述第一化学物质包括稀释氢氟酸(HF)并且所述第二化学物质包括稀释盐酸(HCl)。
3.根据权利要求2所述的方法,其中,所述稀释氢氟酸在去离子水中的HF浓度为约0.1%或更低,并且所述稀释盐酸在去离子水中的HCl浓度为约1%或更高。
4.根据权利要求1所述的方法,其中,所述第一含金属层包括过渡金属、过渡金属氮化物或它们的组合。
5.根据权利要求4所述的方法,其中,所述过渡金属或过渡金属氮化物包括Ti、Co、Ni、Nb、Ru、Rh、W和Re中的一种。
6.根据权利要求1所述的方法,其中,形成所述第二含金属层包括:在所述介电层上方沉积所述第二含金属层,还包括:
将化学机械平坦化(CMP)工艺应用于所述第二含金属层以暴露所述介电层。
7.根据权利要求1所述的方法,其中,所述第一化学物质包括在去离子水中具有约0.1%或更少的第一浓度的HF的稀释氢氟酸,并且所述第二化学物质包括在去离子水中具有第二浓度的HF的另一稀释氢氟酸,并且所述第二浓度比所述第一浓度高至少10倍。
8.根据权利要求7所述的方法,其中,所述第二浓度为约1%至2%。
9.一种形成半导体结构的方法,包括:
接收具有衬底、位于所述衬底上方的导电部件以及位于所述导电部件和所述衬底上方的介电层的结构,所述介电层具有氧化硅;
在所述介电层中蚀刻孔,所述孔暴露所述导电部件;
在所述孔的底部和侧壁上沉积第一含金属层,所述第一含金属层具有过渡金属或过渡金属氮化物;
在所述孔中、所述第一含金属层上方和所述介电层上方沉积第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料;
对所述第二含金属层实施化学机械平坦化(CMP)工艺以暴露所述介电层;
施加第一化学物质以使所述介电层凹进,从而使得所述第一含金属层的顶部和所述第二含金属层的顶部突出在所述介电层之上;以及
将具有氟或氯的第二化学物质施加到所述第一含金属层的所述顶部,以将所述第一含金属层的所述顶部转化为过渡金属氟化物或过渡金属氯化物。
10.一种半导体结构,包括:
衬底;
导电部件,位于所述衬底上方;
介电层,位于所述导电部件和所述衬底上方;以及
结构,设置在所述导电部件上方并且至少部分地由所述介电层围绕,其中,所述结构包括第一含金属层和由所述第一含金属层围绕的第二含金属层,其中,所述第一含金属层和所述第二含金属层包括不同的材料,其中,所述第一含金属层的下部包括过渡金属或过渡金属氮化物,并且所述第一含金属层的上部包括过渡金属氟化物或过渡金属氯化物。
CN202010268658.6A 2019-04-24 2020-04-07 半导体结构和形成半导体结构的方法 Pending CN111863712A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962837860P 2019-04-24 2019-04-24
US62/837,860 2019-04-24
US16/735,137 US11232943B2 (en) 2019-04-24 2020-01-06 Method and structure for semiconductor interconnect
US16/735,137 2020-01-06

Publications (1)

Publication Number Publication Date
CN111863712A true CN111863712A (zh) 2020-10-30

Family

ID=72917287

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010268658.6A Pending CN111863712A (zh) 2019-04-24 2020-04-07 半导体结构和形成半导体结构的方法

Country Status (1)

Country Link
CN (1) CN111863712A (zh)

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5124780A (en) * 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
JPH11312682A (ja) * 1998-01-28 1999-11-09 Interuniv Micro Electronica Centrum Vzw 含フッ素誘電体を用いた金属配線構造及びその製造方法
CN1266278A (zh) * 1999-03-05 2000-09-13 日本电气株式会社 一种半导体器件的生产方法
US20010009814A1 (en) * 1996-10-15 2001-07-26 Rolfson J. Brett Method for removing contaminants from a semiconductor wafer
TW469526B (en) * 2001-02-15 2001-12-21 United Microelectronics Corp Method of cleaning in dual damascene production process
US20020055243A1 (en) * 2000-11-06 2002-05-09 United Microelectronics Corp. Gap-type metallic interconnect and method of manufacture
TW559890B (en) * 2001-09-14 2003-11-01 Asm Inc Metal nitride deposition by ALD with reduction pulse
KR20060072824A (ko) * 2004-12-23 2006-06-28 동부일렉트로닉스 주식회사 콘택홀을 이용한 반도체 소자의 실리사이드 형성 방법
CN1847382A (zh) * 2005-04-13 2006-10-18 美格纳半导体有限会社 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
TWI267111B (en) * 2000-12-01 2006-11-21 Applied Materials Inc Method and composition for the removal of residual materials during substrate planarization
CN1971875A (zh) * 2005-10-06 2007-05-30 台湾积体电路制造股份有限公司 半导体元件的制造方法
US20070184996A1 (en) * 2006-02-06 2007-08-09 Cheng-Ming Weng Cleaning agent and method of removing residue left after plasma process
US20080079156A1 (en) * 2006-09-29 2008-04-03 Hynix Semiconductor Inc. Metal line in semiconductor device and method for forming the same
CN101523297A (zh) * 2006-10-24 2009-09-02 关东化学株式会社 光刻胶残渣及聚合物残渣去除液组合物
KR20090116360A (ko) * 2008-05-07 2009-11-11 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US20100244252A1 (en) * 2009-03-31 2010-09-30 Jezewski Christopher J Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics
CN102543700A (zh) * 2010-12-23 2012-07-04 中芯国际集成电路制造(上海)有限公司 铝金属栅极的形成方法
TW201310522A (zh) * 2011-07-14 2013-03-01 3M Innovative Properties Co 蝕刻方法及利用該蝕刻方法製成之裝置
CN103295879A (zh) * 2012-01-18 2013-09-11 三星电子株式会社 制造半导体器件的方法
US20140335689A1 (en) * 2013-03-14 2014-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating a Semiconductor Interconnect Structure
US20150279729A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Structure With Anti-Etch Structure In Via And Method For Manufacturing The Same
CN105006467A (zh) * 2014-04-25 2015-10-28 台湾积体电路制造股份有限公司 金属接触结构及其形成方法
CN105261554A (zh) * 2014-07-14 2016-01-20 气体产品与化学公司 铜腐蚀抑制系统
CN105280499A (zh) * 2014-06-13 2016-01-27 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN107799461A (zh) * 2016-09-05 2018-03-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20180138075A1 (en) * 2016-11-14 2018-05-17 Applied Materials, Inc. Airgap formation with damage-free copper
US20180337090A1 (en) * 2017-05-18 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Post-etch treatment of an electrically conductive feature

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5124780A (en) * 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
US20010009814A1 (en) * 1996-10-15 2001-07-26 Rolfson J. Brett Method for removing contaminants from a semiconductor wafer
JPH11312682A (ja) * 1998-01-28 1999-11-09 Interuniv Micro Electronica Centrum Vzw 含フッ素誘電体を用いた金属配線構造及びその製造方法
CN1266278A (zh) * 1999-03-05 2000-09-13 日本电气株式会社 一种半导体器件的生产方法
US20020055243A1 (en) * 2000-11-06 2002-05-09 United Microelectronics Corp. Gap-type metallic interconnect and method of manufacture
TWI267111B (en) * 2000-12-01 2006-11-21 Applied Materials Inc Method and composition for the removal of residual materials during substrate planarization
TW469526B (en) * 2001-02-15 2001-12-21 United Microelectronics Corp Method of cleaning in dual damascene production process
TW559890B (en) * 2001-09-14 2003-11-01 Asm Inc Metal nitride deposition by ALD with reduction pulse
KR20060072824A (ko) * 2004-12-23 2006-06-28 동부일렉트로닉스 주식회사 콘택홀을 이용한 반도체 소자의 실리사이드 형성 방법
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
CN1847382A (zh) * 2005-04-13 2006-10-18 美格纳半导体有限会社 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
CN1971875A (zh) * 2005-10-06 2007-05-30 台湾积体电路制造股份有限公司 半导体元件的制造方法
US20070184996A1 (en) * 2006-02-06 2007-08-09 Cheng-Ming Weng Cleaning agent and method of removing residue left after plasma process
US20080079156A1 (en) * 2006-09-29 2008-04-03 Hynix Semiconductor Inc. Metal line in semiconductor device and method for forming the same
CN101523297A (zh) * 2006-10-24 2009-09-02 关东化学株式会社 光刻胶残渣及聚合物残渣去除液组合物
KR20090116360A (ko) * 2008-05-07 2009-11-11 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US20100244252A1 (en) * 2009-03-31 2010-09-30 Jezewski Christopher J Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics
CN102543700A (zh) * 2010-12-23 2012-07-04 中芯国际集成电路制造(上海)有限公司 铝金属栅极的形成方法
TW201310522A (zh) * 2011-07-14 2013-03-01 3M Innovative Properties Co 蝕刻方法及利用該蝕刻方法製成之裝置
CN103295879A (zh) * 2012-01-18 2013-09-11 三星电子株式会社 制造半导体器件的方法
US20140335689A1 (en) * 2013-03-14 2014-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating a Semiconductor Interconnect Structure
US20150279729A1 (en) * 2014-03-28 2015-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Structure With Anti-Etch Structure In Via And Method For Manufacturing The Same
CN105006467A (zh) * 2014-04-25 2015-10-28 台湾积体电路制造股份有限公司 金属接触结构及其形成方法
CN105280499A (zh) * 2014-06-13 2016-01-27 台湾积体电路制造股份有限公司 半导体器件的制造方法
CN105261554A (zh) * 2014-07-14 2016-01-20 气体产品与化学公司 铜腐蚀抑制系统
CN107799461A (zh) * 2016-09-05 2018-03-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20180138075A1 (en) * 2016-11-14 2018-05-17 Applied Materials, Inc. Airgap formation with damage-free copper
US20180337090A1 (en) * 2017-05-18 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Post-etch treatment of an electrically conductive feature
CN108962819A (zh) * 2017-05-18 2018-12-07 台湾积体电路制造股份有限公司 半导体装置的制造方法

Similar Documents

Publication Publication Date Title
US11349015B2 (en) Dual metal via for contact resistance reduction
TWI655680B (zh) 半導體裝置及其形成方法
US10535654B2 (en) Cut metal gate with slanted sidewalls
US11769693B2 (en) Metal-based etch-stop layer
TWI808130B (zh) 半導體裝置及其製造方法
CN109801914B (zh) 衬底和隔离结构之间的蚀刻停止层
US11557512B2 (en) Wet cleaning with tunable metal recess for via plugs
US11961731B2 (en) Method and structure for semiconductor interconnect
US20220108919A1 (en) Method and structure for barrier-less plug
CN114664737A (zh) 具有栅极切割特征的半导体器件及其形成方法
CN111106158B (zh) 半导体结构及其形成方法
TWI765573B (zh) 半導體元件及其形成方法
CN111863712A (zh) 半导体结构和形成半导体结构的方法
US11404570B2 (en) Semiconductor devices with embedded ferroelectric field effect transistors
US11799030B2 (en) Semiconductor devices with embedded ferroelectric field effect transistors

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination