TWI741768B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI741768B
TWI741768B TW109129653A TW109129653A TWI741768B TW I741768 B TWI741768 B TW I741768B TW 109129653 A TW109129653 A TW 109129653A TW 109129653 A TW109129653 A TW 109129653A TW I741768 B TWI741768 B TW I741768B
Authority
TW
Taiwan
Prior art keywords
layer
work function
effect transistor
field effect
type field
Prior art date
Application number
TW109129653A
Other languages
English (en)
Other versions
TW202113989A (zh
Inventor
錢德拉謝卡爾 P 薩萬特
蔡家銘
陳明德
余典衞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202113989A publication Critical patent/TW202113989A/zh
Application granted granted Critical
Publication of TWI741768B publication Critical patent/TWI741768B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

半導體元件包含設置在通道區域上方的閘極結構和源極/汲極區域。閘極結構包含位於通道區域上方的閘極介電層、位於閘極介電層上方的第一功函數調整層、位於第一功函數調整層上方的第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層由N型功函數調整層製成並且包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。

Description

半導體元件及其製造方法
本公開涉及半導體元件及其製造方法。
隨著積體電路的尺寸越來越微縮(down-scaling)以及對積體電路的速度要求越來越高,電晶體在尺寸越來越小的情況下需要具有越來越大的驅動電流。因此,開發了鰭式場效應電晶體(fin field-effect transistor,FinFET)。鰭式場效應電晶體包含在基板上方之垂直的半導體鰭片。半導體鰭片用於形成源極和汲極區域以及在源極和汲極區域之間的通道區域。形成淺溝槽隔離(shallow trench isolation,STI)區域以限定半導體鰭片。鰭式場效應電晶體更包含閘極堆疊,其形成在半導體鰭片的側壁和頂表面上。由於鰭式場效應電晶體具有三維通道結構,因此對通道進行離子注入過程需要格外小心,以減少任何幾何效應。
依據本公開的部分實施例,提供一種半導體元件,包含:閘極結構和源極/汲極區域。閘極結構設置在通道區域上。閘極結構包含:閘極介電層、第一功函數調整層、第一屏蔽層、第一阻擋層和金屬閘極電極層。閘極介電層位於通道區域上。第一功函數調整層位於閘極介電層上。第一屏蔽層位於第一功函數調整層上。第一功函數調整層包含鋁。第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
依據本公開的部分實施例,提供一種半導體元件,包含:第一場效應電晶體和第二場效應電晶體。第一場效應電晶體包含設置在第一通道區域上的第一閘極結構。第二場效應電晶體包含設置在第二通道區域上的第二閘極結構。第一場效應電晶體為N型場效應電晶體,且第二場效應電晶體為P型場效應電晶體,並且第一閘極結構包含:閘極介電層、第一功函數調整層、第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層位於閘極介電層上。第一屏蔽層位於第一功函數調整層上。第一功函數調整層包含鋁。第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層 以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
依據本公開的部分實施例,提供一種製造半導體元件的方法,包含:形成閘極介電層於由半導體材料製成的通道區域上;形成第一功函數調整層於閘極介電層上;形成第一屏蔽層於第一功函數調整層上;形成金屬閘極電極層於第一屏蔽層上。第一功函數調整層包含鋁。第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
10:基板
20:通道區域、鰭片結構
30:隔離絕緣層
40:虛設閘極結構
42:虛設閘極介電層
44:虛設閘極電極層
46:側壁間隔物
47:閘極空間
50:層間介電層
60:源極/汲極磊晶層
80:閘極堆疊
81:界面層
82:閘極介電層
83:第一導電層、覆蓋層
84:第二導電層、第一阻擋層
85:第三導電層、第二阻擋層
86:功函數調整材料層
87:黏合層
88:主體金屬層、主體閘極電極層
89-1:功函數調整材料層
89-2:功函數調整材料層
99:P型場效應電晶體的層
100:N型功函數調整材料層、N型場效應電晶體的層
101:功函數調整材料層
110:第一屏蔽層
111:第二屏蔽層
115:第二屏蔽層
120:富含鈦(Ti)/鉭(Ta)的層、富含鈦(Ti)/鉭(Ta)的含鋁(Al)層
125:化學轉化層
130:離子注入層
135:第三屏蔽層
140:富含鈦(Ti)/鉭(Ta)的層
145:富含鈦(Ti)/鉭(Ta)的層
200:底部抗反射層
205:光阻層
210:底部抗反射層
215:光阻層
220:底部抗反射層
225:光阻層
270:空隙
D1:距離
N1:N型場效應電晶體
N2:N型場效應電晶體
N3:N型場效應電晶體
P1:P型場效應電晶體
P2:P型場效應電晶體
P3:P型場效應電晶體
S301:步驟
S303:步驟
S305:步驟
S307:步驟
S309:步驟
S311:步驟
S313:步驟
S601:步驟
S602:步驟
S603:步驟
S604:步驟
S605:步驟
S606:步驟
S607:步驟
S608:步驟
S609:步驟
S610:步驟
S611:步驟
S612:步驟
S613:步驟
S614:步驟
S615:步驟
S616:步驟
S617:步驟
S618:步驟
S701:步驟
S702:步驟
S703:步驟
S704:步驟
S705:步驟
S706:步驟
S707:步驟
S708:步驟
S709:步驟
S710:步驟
S711:步驟
S712:步驟
S713:步驟
S714:步驟
S715:步驟
S716:步驟
S801:步驟
S802:步驟
S803:步驟
S804:步驟
S805:步驟
S806:步驟
S807:步驟
S808:步驟
S809:步驟
S810:步驟
S811:步驟
S812:步驟
S813:步驟
S814:步驟
S815:步驟
S816:步驟
S901:步驟
S902:步驟
S903:步驟
S904:步驟
S905:步驟
S906:步驟
S907:步驟
S908:步驟
S909:步驟
S910:步驟
S911:步驟
S912:步驟
S913:步驟
S914:步驟
S915:步驟
S916:步驟
S917:步驟
X:方向
Y:方向
Z:方向
X1-X2:線
Y1-Y2:線
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開。要強調的是,根據行業中的標準實踐,各種特徵未按比例繪製,僅用於說明目的。實際上,為了清楚起見,各種特徵的尺寸可以任意地增加或減小。
第1A圖繪示根據本公開實施例之半導體元件的橫截面圖而第1B圖繪示根據本公開實施例之半導體元件的透視圖。
第2A圖、第2B圖、第2C圖和第2D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第3A圖、第3B圖、第3C圖、第3D圖、第3E圖和第 3F圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。第3G圖繪示根據本公開實施例之製造半導體元件的製程流程。
第4圖繪示根據本公開實施例之具有不同臨界電壓的多個場效應電晶體的閘極結構。
第5A圖、第5B圖、第5C圖、第5D圖、第5E圖、第5F圖、第5G圖、第5H圖、第5I圖和第5J圖繪示根據本公開實施例之屏蔽層和N型功函數調整材料層的各種橫截面圖。
第6圖繪示根據本公開實施例之製造半導體元件的製程流程。
第7A圖、第7B圖、第7C圖和第7D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第8A圖、第8B圖、第8C圖和第8D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第9A圖、第9B圖、第9C圖和第9D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第10A圖、第10B圖、第10C圖和第10D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第11A圖、第11B圖和第11C圖繪示根據本公開實施例 的半導體元件之順序製造製程的各個階段的橫截面圖。
第12圖繪示根據本公開實施例的半導體元件的橫截面圖。
第13圖繪示根據本公開實施例之製造半導體元件的製程流程。
第14A圖、第14B圖、第14C圖和第14D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第15圖繪示根據本公開實施例之半導體元件的橫截面圖。
第16圖繪示根據本公開實施例之製造半導體元件的製程流程。
第17A圖、第17B圖、第17C圖和第17D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第18圖繪示根據本公開實施例之半導體元件的橫截面圖。
第19圖繪示根據本公開實施例之製造半導體元件的製程流程。
第20A圖、第20B圖、第20C圖和第20D圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。
第21A圖和第21B圖繪示沿著閘極結構的深度方向的元素分析結果。
第22A圖、第22B圖、第22C圖、第22D圖、第22E圖和第22F圖繪示根據本公開實施例之來自N型功函數調整材料層的鋁2p軌域、碳1s軌域和氟1s軌域峰的x射 線光電子能譜(x-ray photo electron spectroscopy,XPS)光譜。
第23A圖和第23B圖繪示根據本公開實施例之屏蔽層的效果。
第24圖繪示根據本公開實施例之半導體元件的橫截面圖。
第25圖繪示根據本公開實施例之半導體元件的橫截面圖。
第26A圖繪示環形振盪器的電路圖,第26B圖繪示環形振盪器的佈局,第26C圖繪示沿著根據本公開實施例之第26B圖的線X1-X2的橫截面圖,而第26D圖繪示相對於離附近的N型場效應電晶體裝置一距離之P型場效應電晶體裝置的平帶電壓(Vfb)偏移。
第27A圖繪示閘極切割製程,第27B圖繪示沒有屏蔽層的接縫空隙缺陷,第27C圖繪示具有屏蔽層的接縫空隙缺陷,而第27D圖繪示屏蔽層的損壞保護效果。
應當理解,以下公開內容提供了用於實現本公開之不同特徵的許多不同的實施例或示例。下面描述元件和配置的特定實施例或示例以簡化本公開。當然,這些僅僅是示例,而無意於進行限制。例如,元件的尺寸不限於所公開的範圍或值,而是可以取決於製程條件和/或裝置的期望特性。此外,在以下描述中,在第二特徵之上或上方形成第一特徵可以包含其中第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含其中可以在第一特徵和第二 特徵之間插入附加特徵使得第一特徵和第二特徵可以不直接接觸的實施例。為了簡單和清楚起見,可以以不同比例任意繪製各種特徵。在附圖中,為了簡化,可以省略一些層/特徵。
此外,本文中可以使用諸如「在…下面」、「在…下方」、「低於」、「在…上面」、「在…上方」等之類的空間相對術語,以便於描述一個元件或特徵與如圖所示的另一個或多個元件或特徵的關係。除了在圖中描述的方位之外,空間相對術語還意圖涵蓋裝置在使用或操作中的不同方位。裝置可以以其他方式定向(旋轉90度或以其他定向),並且本文中使用的空間相對描述語可以同樣地相應地解釋。另外,術語「由…構成」可以表示「包含」或「由…組成」。此外,在以下製造過程中,在所述操作之間可以存在一個或多個附加操作,並且可以改變操作的順序。在本公開中,詞語「A、B和C之一」表示「A、B和/或C」(A、B、C、A和B、A和C、B和C、或A、B和C),除非另有說明,否則並不意味著A中的一個元素、B中的一個元素和C中的一個元素。在整個公開中,源極和汲極可互換地使用,並且源極/汲極是指源極和汲極之一或兩者。在以下實施例中,可以在其他實施例中採用相對於一個實施例(例如,一個或多個附圖)中所描述的材料、配置、尺寸、製程和/或操作,並且可以省略其詳細描述。
本公開的實施例涉及一種半導體元件,尤其涉及場效應電晶體的閘極結構及其製造方法。本公開的實施例基 本上不僅適用於平面場效應電晶體,而且還適用於鰭式場效應電晶體、雙閘極場效應電晶體、環繞閘極場效應電晶體、Ω閘極場效應電晶體或閘極全環(gate-all-around,GAA)場效應電晶體和/或奈米線電晶體或在閘極結構中具有一個或多個功函數調整材料(work function adjustment material,WFM)層的任何合適的裝置。
在場效應電晶體結構中,建立多個具有低臨界電壓(Vt)的臨界電壓(Vt)裝置對於降低功耗和提升裝置性能至關重要。金屬閘極膜的成分和厚度在定義裝置功函數和臨界電壓(Vt)中起著至關重要的作用。具有不同臨界電壓的多個場效應電晶體可以透過調整設置在閘極介電層和主體金屬閘極電極層(例如,鎢(W)層)之間的一個或多個功函數調整材料層的材料和/或厚度來實現。對於N型場效應電晶體,將諸如鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)和/或碳化鋁鉭(TaAlC)的含鋁層作為功函數調整材料層。然而,當對含鋁層進行圖案化操作時,可能會發生含鋁層的碳污染和/或氧化,這會影響其功函數,從而影響臨界電壓並降低裝置的性能。
本公開涉及在含鋁的N型功函數調整材料層之上和/或之下使用保護性屏蔽層。如以下將討論的,本公開提供的裝置和方法可以保護含鋁的N型功函數調整材料層免受氧化和/或碳污染和/或由切割金屬閘極的氣體而造成的損害和/或由圖案化製程而造成的損害。
第1A圖繪示根據本公開實施例的半導體元件的 橫截面圖。
在部分實施例中,半導體元件包含設置在鰭片結構20的通道區域上方的閘極堆疊80。閘極堆疊80包含界面層81、閘極介電層82、作為覆蓋層的第一導電層83、作為第一阻擋層的第二導電層84、功函數調整材料層或功函數調整層86、黏合層87和主體閘極電極層88,如第1圖所示。在部分實施例中,鰭片結構20設置在基板10上方並且從隔離絕緣層30突出。此外,閘極側壁間隔物46設置在閘極堆疊80的相對側面上,並且形成一個或多個介電層50以覆蓋閘極側壁間隔物。在部分實施例中,一塊絕緣材料42設置在閘極側壁間隔物46和隔離絕緣層30之間。此外,如第1B圖所示,在凹入的鰭片結構上方形成源極/汲極磊晶層60。雖然第1A圖繪示兩個鰭片結構且第1B圖繪示三個鰭片結構,但鰭片結構的數量不限於第1A圖和第1B圖所示。
在部分實施例中,第一導電層83包含金屬氮化物(例如,氮化鎢(WN)、氮化鉭(TaN)、氮化鈦(TiN)和氮化矽鈦(TiSiN))。在部分實施例中,使用氮化鈦(TiN)。在部分實施例中,第一導電層83的厚度在約0.3奈米(nm)至約30nm的範圍內,而在其他實施例中,在約0.5nm至約25nm的範圍內。在部分實施例中,第一導電層83是具有例如柱狀形晶粒的晶體。
在部分實施例中,第二導電層84包含金屬氮化物(例如,氮化鎢(WN)、氮化鉭(TaN)、氮化鈦(TiN) 和氮化矽鈦(TiSiN))。在部分實施例中,使用氮化鉭(TaN)。在部分實施例中,第二導電層84的厚度在約0.3nm至約30nm的範圍內,並且在其他實施例中,在約0.5nm至約25nm的範圍內。在部分實施例中,第二導電層84作為阻擋層或蝕刻停止層。在部分實施例中,第二導電層84比第一導電層83薄。
在部分實施例中,功函數調整材料層86由諸如氮化鈦(TiN)、氮化鎢(WN)、碳化鋁鉭(TaAlC)、碳化鈦(TiC)、鋁化鉭(TaAl)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鋁化鈦(TiAl)或碳化鋁鈦(TiAlC)的單層或這些材料中的兩個或更多個的多層的導電材料製成。對於N型場效應電晶體,將諸如鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)和/或碳化鋁鉭(TaAlC)的含鋁層作為N型功函數調整材料層,並且對於P型場效應電晶體,使用氮化鉭(TaN)、氮化鈦(TiN)、氮化鎢(WN)、碳化鈦(TiC)、氮化碳鎢(WCN)、氮化鉬(MoN)和鈷(Co)中的一種或多種作為P型功函數調整材料層。在部分實施例中,N型功函數調整材料層由在約2.5電子伏特(eV)至約4.4eV的範圍內具有低功函數和/或具有低電負性的材料組成。在部分實施例中,P型功函數調整材料層由在約4.3eV至5.8eV的範圍內具有高功函數和/或具有高電負性的材料組成。
在部分實施例中,黏合層87由氮化鈦(TiN)製成。在部分實施例中,主體閘極電極層88包含一層或多層 導電材料(例如,多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、其他合適的材料和/或其組合)。
第2A圖至第3F圖繪示根據本公開實施例的半導體元件之順序製造製程的各個階段的橫截面圖。第3G圖繪示根據本公開實施例之製造半導體元件的製造流程。可以理解,在順序製造製程中,可以在第2A圖至第3F圖所示的階段之前、之中和之後提供一個或多個附加操作,並且以下描述的一些操作可以被替換或消除以作為此方法的其他實施例。操作/過程的順序可以互換。
如第2A圖所示,在基板10上方形成一個或多個鰭片結構20。基板10是例如P型矽基板,其雜質濃度在大約1×1015cm-3至大約1×1018cm-3的範圍內。在其他實施例中,基板10是N型矽基板,其雜質濃度在大約1×1015cm-3至大約1×1018cm-3的範圍內。可選地,基板10可以包含:另一種元素半導體(例如,鍺);化合物半導體,包含IV-IV族的化合物半導體(例如,矽碳(SiC)和矽鍺(SiGe))或包含III-V族的化合物半導體(例如,砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、磷化砷鎵(GaAsP)、氮化鎵鋁(AlGaN)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、 磷化銦鎵(GaInP)和/或磷砷化銦鎵(GaInAsP));或其組合。在一個實施例中,基板10是絕緣體上矽(silicon-on insulator,SOI)基板的矽層。諸如非晶矽(Si)或非晶矽碳(SiC)之類的非晶基板或諸如氧化矽之類的絕緣材料也可以作為基板10。基板10可以包含已經適當地摻雜有雜質(例如,P型或N型電導率)的各種區域。
鰭片結構20可以透過任何合適的方法來圖案化。例如,可以使用一種或多種光刻製程來圖案化鰭片結構20,所述光刻製程包含雙圖案化或多圖案化製程。通常,雙圖案化或多圖案化製程將光刻和自對準製程相結合,從而允許創建具有例如比使用單次、直接光刻可獲得之圖案的間距小的間距。例如,在一個實施例中,在基板上方形成犧牲層,並使用光刻製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。接著去除犧牲層,然後可以使用剩餘的間隔物來圖案化鰭片結構20。
如第2A圖所示,在Y方向上延伸的兩個鰭片結構20在X方向上彼此相鄰地設置。然而,鰭片結構的數量不限於兩個。此數量可以是一個、三個、四個或五個或更多。另外,可以在鰭片結構20的兩側附近設置多個虛設鰭片結構中的一個,以提高圖案化製程中的圖案保真度。在部分實施例中,鰭片結構20的寬度在約5nm至約40nm的範圍內,並且在其他實施例中在約7nm至約15nm的範圍內。在部分實施例中,鰭片結構20的高度在約100 nm至約300nm的範圍內,並且在其他實施例中在約50nm至100nm的範圍內。在部分實施例中,鰭片結構20之間的間隔在約5nm至約80nm的範圍內,並且在其他實施例中在約7nm至15nm的範圍內。然而,本領域技術人員將理解,全篇說明書中描述的尺寸和值僅是示例,並且可以改變以適合不同規模的積體電路。在部分實施例中,鰭式場效應電晶體裝置是N型鰭式場效應電晶體。在其他實施例中,鰭式場效應電晶體裝置是P型鰭式場效應電晶體。
如第2B圖所示,在形成鰭片結構20之後,在鰭片結構20上方形成隔離絕緣層30。
隔離絕緣層30包含一層或多層絕緣材料,例如,透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積或可流動的化學氣相沉積形成的氧化矽、氮氧化矽或氮化矽。在可流動的化學氣相沉積中,沉積可流動的介電材料而不是氧化矽,顧名思義,可流動的介電材料可以在沉積過程中「流動」以填充具有高縱橫比的間隙或空間。通常,將各種化學物質添加到含矽的前驅物中以使沉積的膜流動。在部分實施例中,添加氮氫鍵(nitrogen hydride bond)。可流動的介電質前驅物(特別是可流動的氧化矽前驅物)的實例包含矽酸鹽、矽氧烷、甲基矽氧烷(methyl silsesquioxane,MSQ)、含氫矽氧烷(hydrogen silsesquioxane,HSQ)、甲基矽氧烷和含氫矽氧烷的 混合物、全氮矽氮烷(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、矽酸四乙酯(tetraethyl orthosilicate,TEOS)、或甲矽烷基胺(silyl-amine)(例如,三甲矽烷基胺(trisilylamine,TSA))。這些可流動的氧化矽材料是在多次操作過程中形成的。在沉積可流動的膜之後,將其固化,然後退火去除不期望的元素以形成氧化矽。可流動的膜可以摻雜有硼和/或磷。在部分實施例中,隔離絕緣層30可以由旋塗式玻璃(spin-on-glass,SOG)、氧化矽(SiO)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)中的一層或多層形成。
在鰭片結構20之上形成隔離絕緣層30之後,執行平坦化操作,以去除隔離絕緣層30和遮罩層(襯墊氧化層和氮化矽遮罩層)的一部分。平坦化操作可以包含化學機械平坦化(chemical mechanical polishing,CMP)和/或回蝕刻製程。然後,進一步去除隔離絕緣層30,從而暴露出將成為通道層之鰭片結構20的上部,如第2B圖所示。
在部分實施例中,可以使用濕式蝕刻製程(例如,透過將基板浸入氫氟酸(HF)中)來執行部分地去除隔離絕緣層30的操作。在另一個實施例中,可以使用乾式蝕刻製程來執行部分去除隔離絕緣層30的操作。例如,可以使用利用三氟甲烷(CHF3)或三氟化硼(BF3)作為蝕刻 氣體的乾式蝕刻製程。
在形成隔離絕緣層30之後,可以執行熱製程(例如,退火製程),以提高隔離絕緣層30的品質。在部分實施例中,透過使用快速熱退火(rapid thermal annealing,RTA),在惰性氣體環境(例如,在氮氣(N2)、氬氣(Ar)或氦氣(He)環境)中,在約900℃至約1050℃的溫度下執行約1.5秒至約10秒的熱製程。
然後,如第2C圖所示,在一部分鰭片結構20上形成虛設閘極結構40。
在隔離絕緣層30和暴露的鰭片結構20上方形成介電層和多晶矽層,然後執行圖案化操作以獲得包含由多晶矽製成的虛設閘極電極層44和虛設閘極介電層42的虛設閘極結構。在部分實施例中,透過使用包含氮化矽層和氧化物層的硬遮罩來執行多晶矽層的圖案化。虛設閘極介電層42可以是透過化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸發或其他合適的製程形成的氧化矽。在部分實施例中,虛設閘極介電層42包含氧化矽、氮化矽、氮氧化矽或高介電常數介電質中的一層或多層。在部分實施例中,虛設閘極介電層的厚度在約1nm至約5nm的範圍內。
在部分實施例中,虛設閘極電極層44是具有均勻地或非均勻地摻雜之摻雜的多晶矽。在本實施例中,虛設閘極電極層44的寬度在約30nm至約60nm的範圍內。 在部分實施例中,虛設閘極電極層的厚度在約30nm至約50nm的範圍內。另外,可以在虛設閘極結構40的兩側附近設置多個虛設閘極結構中的一個,以提高圖案化製程中的圖案保真度。在部分實施例中,虛設閘極結構40的寬度在約5nm至約40nm的範圍內,並且在部分實施例中,在約7nm至約15nm的範圍內。
進一步地,如第2C圖所示,在虛設閘極結構40的相對側面上形成側壁間隔物46。在虛設閘極結構40上方形成用於側壁間隔物46的絕緣材料層。絕緣材料層以保形的方式沉積,以使其在垂直表面上(例如,分別在虛設閘極結構40的側壁、水平表面和頂部等)具有實質上相等的厚度。在部分實施例中,絕緣材料層的厚度在約5nm至約20nm的範圍內。絕緣材料層包含氮化矽(SiN)、氮氧化矽(SiON)和氮碳化矽(SiCN)或任何其他合適的介電材料中的一種或多種。可以透過原子層沉積或化學氣相沉積或任何其他合適的方法來形成絕緣材料層。接下來,透過各向異性蝕刻去除絕緣材料層的底部,從而形成側壁間隔物46。在部分實施例中,側壁間隔物46包含兩層至四層不同的絕緣材料。在部分實施例中,虛設閘極介電層42的一部分設置在側壁間隔物46和隔離絕緣層30之間。在其他實施例中,虛設閘極介電層42的任何部分均未設置在側壁間隔物46和隔離絕緣層30之間。
隨後,在部分實施例中,未被虛設閘極結構40覆蓋之鰭片結構20的源極/汲極區域被向下蝕刻(凹陷)以 形成源極/汲極凹槽。在形成源極/汲極凹槽之後,在源極/汲極凹槽中形成一個或多個源極/汲極磊晶層60(參見第1B圖)。在部分實施例中,形成第一磊晶層、第二磊晶層和第三磊晶層。在其他實施例中,不形成凹槽,並且在鰭片結構上方形成磊晶層。
在部分實施例中,第一磊晶層包含用於N型鰭式場效應電晶體的矽磷(SiP)或矽碳磷(SiCP),以及用於P型鰭式場效應電晶體之摻雜有硼(B)的矽鍺(SiGe)。在部分實施例中,第一磊晶層中磷(P)的含量在約1×1018原子/cm3至約1×1020原子/cm3的範圍內。在部分實施例中,第一磊晶層的厚度在約5nm至20nm的範圍內,而在其他實施例中,在約5nm至約15nm的範圍內。在部分實施例中,當第一磊晶層是矽鍺(SiGe)時,鍺(Ge)的含量為約25原子%至約32原子%,在其他實施例中為約28原子%至約30原子%。在部分實施例中,第二磊晶層包含用於N型鰭式場效應電晶體的矽磷(SiP)或矽碳磷(SiCP),以及用於P型鰭式場效應電晶體之摻雜有硼(B)的矽鍺(SiGe)。在部分實施例中,第二磊晶層中的磷的含量高於第一磊晶層中的磷的含量,並且在約1×1020原子/cm3至約2×1020原子/cm3的範圍內。在部分實施例中,第二磊晶層的厚度在約20nm至40nm的範圍內,或者在其他實施例中,在約25nm至約35nm的範圍內。在部分實施例中,當第二磊晶層是矽鍺(SiGe)時,鍺(Ge)的含量為約35原子%至約55原子%,在其 他實施例中為約41原子%至約46原子%。在部分實施例中,第三磊晶層包含矽磷(SiP)磊晶層。第三磊晶層是用於在源極/汲極中形成矽化物的犧牲層。在部分實施例中,第三磊晶層中磷的含量小於第二磊晶層中磷的含量,並且在約1×1018原子/cm3至約1×1021原子/cm3的範圍內。在部分實施例中,當第三磊晶層是矽鍺(SiGe)時,鍺(Ge)的含量小於約20原子%,而在其他實施例中,鍺(Ge)的含量約為1原子%至約18原子%。
在至少一個實施例中,透過低壓化學氣相沉積製程、分子束磊晶、原子層沉積或任何其他合適的方法來磊晶生長磊晶層60。低壓化學氣相沉積製程是在大約400℃至850℃的溫度和大約1托(Torr)至200Torr的壓力下使用矽源氣體(例如,矽甲烷(SiH4)、矽乙烷(Si2H6)或矽丙烷(Si3H8));鍺源氣體(例如,鍺甲烷(GeH4)或鍺乙烷(G2H6));碳源氣體(例如,甲烷(CH4)或甲基矽烷氣(SiH3CH3));磷源氣體(例如,磷化氫(PH3))執行的。
然後,參照第2C圖,在源極/汲極磊晶層60和虛設閘極結構40上方形成層間介電(interlayer dielectric,ILD)層50。用於層間介電層50的材料包括包含矽(Si)、氧(O)、碳(C)和/或氫(H)的化合物(例如,氧化矽、碳矽氧化物(SiCOH)和碳氧化矽(SiOC))。層間介電層50可以使用諸如聚合物的有機材料。
在形成層間介電層50之後,執行諸如化學機械平坦化之類的平坦化操作,從而暴露出虛設閘極電極層44的頂部,如第2C圖所示。在部分實施例中,在形成層間介電層50之前,形成接觸蝕刻停止層(例如,氮化矽層或氮氧化矽層)。
然後,去除虛設閘極電極層44和虛設閘極介電層42,從而形成如第2D圖所示的閘極空間47。可以使用電漿乾式蝕刻和/或濕式蝕刻來去除虛設閘極結構。當虛設閘極電極層44是多晶矽並且層間介電層40是氧化矽時,可以使用諸如氫氧化四甲基銨溶液的濕式蝕刻劑來選擇性地去除虛設閘極電極層44。然後,使用電漿乾式蝕刻和/或濕式蝕刻來去除虛設閘極介電層42。
第3A圖繪示在閘極空間47中暴露鰭片結構20的通道區域之後的結構。在第3A圖至第3F圖中,省略了側壁間隔物46和層間介電層50。
如第3B圖所示,在第3G圖的S301處,在鰭片結構20上形成界面層81,並且在第3G圖的S303中,在界面層81上形成閘極介電層82。在部分實施例中,透過使用化學氧化來形成界面層。在部分實施例中,界面層81包含氧化矽、氮化矽和混合的矽鍺氧化物中的一種。在部分實施例中,界面層81的厚度在約0.2nm至約6nm的範圍內。在部分實施例中,閘極介電層82包含一層或多層介電材料(例如,氧化矽、氮化矽或高介電常數介電材料、其他合適的介電材料和/或其組合)。高介電常數介電 材料的示例包含二氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、氧化鑭(La2O3)、氧化鉿-氧化鑭(HfO2-La2O3)、氧化釔(Y2O3)、其他合適的高介電常數介電材料和/或其組合。可以透過化學氣相沉積、原子層沉積或任何合適的方法來形成閘極介電層82。在一個實施例中,使用諸如原子層沉積之高度保形的沉積製程來形成閘極介電層82,以確保形成在每個通道層周圍的閘極介電層具有均勻的厚度。在一個實施例中,閘極介電層82的厚度在約1nm至約100nm的範圍內。
然後,如第3C圖所示,在第3G圖的S305中,形成第一導電層83。在部分實施例中,第一導電層83可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在部分實施例中,第一導電層83由氮化鈦(TiN)或氮化矽鈦(TiSiN)製成。
在部分實施例中,在第3G圖的S307中,在形成第一導電層83之後,在部分實施例中,在大約600℃至大約800℃的溫度下執行大約1奈秒(尖峰退火,例如,雷射退火)至大約360秒的第一退火操作。
第一退火有助於使閘極介電層82緻密化並且使氮併入到閘極介電層82中。氮氣有助於鈍化氧空位、減少漏電並提升裝置的可靠性。第一退火還有助於形成穩定的混 合層,其有助於為後續沉積到介電層上的金屬閘極膜提供穩定的平台。當溫度太高時,第一退火可能會在高介電常數閘極介電層82中引起結晶化和晶界(grain boundary)的形成,這將影響界面層81的漏電性能和再生長,從而降低裝置速度。相反地,當溫度太低時,第一退火可能不會在高介電常數閘極介電層中提供足夠的緻密化,並且在隨後的金屬閘極沉積過程中可能會造成裝置的不穩定性/變化。
在部分實施例中,在約室溫(25℃)至約550℃的溫度下將包含界面層81、閘極介電層82和第一導電層83的堆疊結構浸泡在含氟氣體(例如,氟氣(F2)和/或三氟化氮(NF3))中約4秒至約15秒。氟的併入有助於改善功函數調節性能、降低P型金屬氧化物半導體(PMOS)裝置的臨界電壓(Vt)、鈍化閘極介電層82中的氧空位、減少漏電並減少閘極介電層中的懸空鍵(dangling bonds)。此後,在第一導電層83上形成由例如晶體、多晶矽或非晶矽製成的覆蓋層,並且執行第二退火操作,在部分實施例中,在約550℃至約1300℃的溫度下執行第二退火操作約1奈秒(尖峰退火,例如,雷射退火)至約360秒。在部分實施例中,退火溫度為900℃至1100℃。在部分實施例中,這將導致氟擴散到覆蓋層、第一導電層83和閘極介電層82中。在第二退火操作之後,去除覆蓋層。使用矽覆蓋層的第二退火還有助於改善閘極介電層82的品質。在相對較低的溫度下形成閘極介 電層(例如,高介電常數介電層)以避免結晶化和晶界的形成,而金屬閘極膜則是在相對較高的溫度下沉積的。因此,期望在沉積金屬閘極之前使高介電常數介電層更熱穩定。在如上所述的溫度範圍內且在具有覆蓋層的情況下進行第二退火,可以使高介電常數介電層緻密化並且使其熱穩定,且在金屬閘極沉積期間沒有任何熱氧化反轉(thermal oxide inersion)。第二退火還有助於將氟從外層(例如,覆蓋層)熱內擴散到第一導電層83、閘極介電層82和界面層81中。覆蓋層用於保護閘極介電層82和第一導電層83免於不期望的氧化破壞,並且使這些膜與退火環境隔離。在閘極介電層熱穩定之後,由於在最終的裝置結構中不再需要覆蓋層,因此將其除去。
在其他實施例中,不執行伴隨形成矽覆蓋層和第二退火操作的氟浸泡操作。
隨後,在第3G圖的S309中,形成作為第一阻擋層84的第二導電層,然後在第3G圖的S311中,形成一個或多個功函數調整材料層86。在第3G圖的S313中,在功函數調整層86上方形成包含黏合層87和主體金屬層(閘極電極層)88的金屬閘極層。
在部分實施例中,第二導電層84由氮化鉭(TaN)製成並且作為蝕刻停止阻擋層。隨後形成阻擋層86(其在P型功函數調整材料層和N型功函數調整材料層的圖案化期間作為濕式蝕刻停止層的)以形成多個臨界電壓(Vt)的裝置。功函數調整材料層可以透過原子層沉積、物理氣 相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。此外,可以針對使用不同金屬層的N型通道場效應電晶體和P型通道場效應電晶體分別形成功函數調整材料層。可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法來形成閘極電極層(主體金屬層)88和黏合層87。
第4圖繪示根據本公開實施例之具有不同臨界電壓的場效應電晶體的閘極結構的橫截面圖。在部分實施例中,半導體元件包含第一N型場效應電晶體N1、第二N型場效應電晶體N2、第三N型場效應電晶體N3、第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3。第一N型場效應電晶體N1的臨界電壓的絕對值小於第二N型場效應電晶體N2的臨界電壓的絕對值,而第二N型場效應電晶體N2的臨界電壓的絕對值小於第三N型場效應電晶體N3的臨界電壓的絕對值。類似地,第一P型場效應電晶體P1的臨界電壓的絕對值小於第二P型場效應電晶體P2的臨界電壓的絕對值,並且第二P型場效應電晶體P2的臨界電壓的絕對值小於第三P型場效應電晶體P3的臨界電壓的絕對值。
在部分實施例中,第一N型場效應電晶體N1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第二N型 場效應電晶體N2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。在部分實施例中,第三N型場效應電晶體N3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、第一P型功函數調整材料層89-1、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。第一N型場效應電晶體N1的閘極結構不包含P型功函數調整材料層。
在部分實施例中,第一P型場效應電晶體P1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、第一P型功函數調整材料層89-1、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。在部分實施例中,第二P型場效應電晶體P2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、第二P型功 函數調整材料層89-2、黏合層87和主體金屬層88。在部分實施例中,第三P型場效應電晶體P3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。第三P型場效應電晶體P3的閘極結構不包含P型功函數調整材料層。在部分實施例中,第二阻擋層85可以作為功函數調整材料層。
第一N型功函數調整材料層100由與第一P型功函數調整材料層89-1和第二P型功函數調整材料層89-2不同的材料製成。在部分實施例中,第一N型功函數調整材料層100由在大約2.5eV至4.4eV的範圍內具有低功函數的材料製成,並且P型功函數調整材料層由在大約4.3eV到5.8eV範圍內具有高功函數的材料製成。在部分實施例中,第一N型功函數調整材料層100包含鋁。在部分實施例中,第一N型功函數調整材料層包含鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)和/或碳化鋁鉭(TaAlC)中的一個或多個。在部分實施例中,第一P型功函數調整材料層89-1和第二P型功函數調整材料層89-2包含金屬氮化物(例如,氮化鈦(TiN)、氮化鉬(MoN)、氮化碳鎢(WCN)和氮化鎢(WN))。在部分實施例中,第一P型功函數調整材料層89-1由與第二P型功函數調整材料層89-2相同的材料製成。當第一P型功函數調整材料層89-1由與第二P型功函數調整 材料層89-2相同的材料製成時,第三N型場效應電晶體N3和第一P型場效應電晶體P1包含單層的P型功函數調整材料,此單層的P型功函數調整材料具有比在第二N型場效應電晶體N2和第二P型場效應電晶體P2中的P型功函數調整材料層(89-2)大的厚度。在其他實施例中,第一P型功函數調整材料層89-1由與第二P型功函數調整材料層不同的材料製成。
在部分實施例中,第一N型功函數調整材料層100的厚度在約0.6nm至約40nm的範圍內,並且在其他實施例中,在約1nm至約20nm的範圍內。第一N型功函數調整材料層100的厚度會影響N型場效應電晶體的臨界電壓。在部分實施例中,第一P型功函數調整材料層89-1和第二P型功函數調整材料層89-2的厚度在大約0.5nm至大約20nm的範圍內,並且在其他實施例中在大約1nm至大約10nm的範圍內。P型功函數調整材料層的厚度會影響N型場效應電晶體和P型場效應電晶體的臨界電壓。
在部分實施例中,黏合層87由氮化鈦(TiN)、鈦(Ti)和/或鈷(Co)製成。在部分實施例中,主體金屬層88由鎢(W)、鋁(Al)、鈷(Co)或任何其他合適的金屬材料製成。
透過在N型功函數調整材料層100上方和/或下方沉積一個或多個屏蔽層來形成受保護的N型功函數調整材料結構。第5A圖至第5J圖繪示根據本公開的實施例之 受保護的N型功函數調整材料結構的各種結構。
在第5A圖中,透過諸如化學氣相沉積、物理氣相沉積、濺射、原子層沉積或電鍍的沉積方法在第一N型功函數調整材料層100的上表面上形成第一屏蔽層110。在部分實施例中,第一屏蔽層110包含一個或多個金屬氮化物層(例如,氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN))。在其他實施例中,第一屏蔽層110包含選自於由鉻(Cr)、鉬(Mo)、鈦(Ti)、鉭(Ta)和鈷(Co)所組成的群組中的至少一種的金屬層,或諸如矽(Si)、矽鍺(SiGe)和鍺(Ge)的半導體層。在部分實施例中,第一屏蔽層110包含選自於由矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物。在部分實施例中,第一屏蔽層110包含選自於由鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中的至少一種的矽化物。
在部分實施例中,在形成第一N型功函數調整材料層100之後,在不破壞真空的情況下形成第一屏蔽層110,因而可避免從環境中產生的任何污染或避免第一N型功函數調整材料層100的氧化。在其他實施例中,在形成第一N型功函數調整材料層100之後,在破壞真空的情況下形成第一屏蔽層110。當在不破壞真空的情況下形成屏蔽層時,由第一N型功函數調整材料層100引起的臨界 電壓比在破壞真空的情況下形成屏蔽層時的臨界電壓小約60mV至約100mV。在部分實施例中,第一屏蔽層110在約250℃至約600℃的溫度範圍內形成,並且在其他實施例中,沉積溫度在約400℃至約500℃的範圍內。
在部分實施例中,第一屏蔽層110的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,第一屏蔽層110的最大厚度為約10nm,而在其他實施例中為約8nm。較大的厚度可以為第一N型功函數調整材料層100提供更好的保護,而較小的厚度可以避免臨界電壓的改變和/或避免涉及間隙填充的問題。
在第5B圖中,第二屏蔽層115形成在第一屏蔽層110上。在部分實施例中,第二屏蔽層115由與第一屏蔽層110不同的材料製成,並且可以選自上面列出之第一屏蔽層110的一種或多種材料。在部分實施例中,第一屏蔽層100由金屬氮化物製成,第二屏蔽層115由半導體材料(例如,矽(Si))製成。在部分實施例中,第二屏蔽層115的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,第二屏蔽層115的最大厚度為約10nm,而在其他實施例中為約8nm。
在第5C圖中,第一屏蔽層是具有比第一N型功函數調整材料層100更高的鈦(Ti)或鉭(Ta)含量的富含鈦(Ti)/鉭(Ta)的含鋁(Al)層120。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層120是富含鈦(Ti)的鋁化鈦(TiAl)層、富含鈦(Ti)的碳化鋁鈦(TiAlC) 層、富含鉭(Ta)的鋁化鉭(TaAl)層和/或富含鉭(Ta)的碳化鋁鉭(TaAlC)層。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層120的鋁(Al)與鈦(Ti)或鉭(Ta)的比例在約0.3至約1.2的範圍內,而第一N型功函數調整材料層100的鋁(Al)與鈦(Ti)或鉭(Ta)的比例在約2至約6的範圍內。換句話說,富含鈦(Ti)/鉭(Ta)的層120的鋁含量為約30原子%至約55原子%,而第一N型功函數調整材料層100的鋁含量為約67原子%至約86原子%。當鈦(Ti)或鉭(Ta)的含量較高時,第一N型功函數調整材料層100較不會被氧化。在部分實施例中,富含鈦(Ti)/鉭(Ta)的含鋁(Al)層120的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,富含鈦(Ti)/鉭(Ta)的含鋁(Al)層120的最大厚度為約10nm,而在其他實施例中為約8nm。
在第5D圖中,第一屏蔽層是第一N型功函數調整材料層100的化學轉化層125。在形成第一N型功函數調整材料層100之後,對第一N型功函數調整材料層100的表面進行化學處理。在部分實施例中,第一N型功函數調整材料層100的表面經過稀釋的氫氟酸(HF)處理以形成含氟層(例如,氟化鋁鈦(TiAlF3))。在其他實施例中,第一N型功函數調整材料層100的表面暴露於氨(NH3)氣體以形成含氮(N)層(例如,氮化鋁鈦(TiAlN))。在部分實施例中,化學轉化層125的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部 分實施例中,化學轉化層125的最大厚度為約10nm,而在其他實施例中為約8nm。
在第5E圖中,第一屏蔽層是第一N型功函數調整材料層100的淺離子注入層130。在形成第一N型功函數調整材料層100之後,將離子注入到第一N型功函數調整材料層100的表面。在部分實施例中,以約80eV至約3keV的低注入能和約5度至22度的注入角注入鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的離子。在部分實施例中,離子的劑量在約1×1013個離子/cm2至約1×1019個離子/cm2的範圍內。在部分實施例中,離子注入層130的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,離子注入層130的最大厚度為大約10nm,而在其他實施例中為大約8nm。
在第5F圖中,除了第一屏蔽層110之外,在第一N型功函數調整材料層100下方還形成第三屏蔽層135,並且第一N型功函數調整材料層100形成在第三屏蔽層135上方。在一些實施例中,屏蔽層135由與第一屏蔽層110相同的材料製成,並且在其他實施例中,第三屏蔽層135由與第一屏蔽層110不同的材料製成。在部分實施例中,第三屏蔽層135是金屬氮化物、碳化物、矽化物、金屬和以上列出之用於第一屏蔽層110的半導體材料中的一種或多種。在部分實施例中,第三屏蔽層135的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部 分實施例中,第三屏蔽層135的最大厚度為約10nm,而在其他實施例中為約8nm。第三屏蔽層135有助於保護N型功函數調整材料層免受來自下層之不希望的氧化。
在第5G圖中,第一屏蔽層是具有梯度輪廓之富含鈦(Ti)/鉭(Ta)的層140。在用鋁前驅物(源)和鈦(Ti)或鉭(Ta)前驅物沉積第一N型功函數調整材料層100的過程中,在形成第一N型功函數調整材料層的所需厚度後,增加鈦(Ti)或鉭(Ta)前驅物的含量和/或減少鋁(Al)前驅物的含量。在部分實施例中,前驅物的含量逐漸改變以形成具有逐漸增加的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層140。在其他實施例中,以階梯式的方式改變前驅物的含量以形成具有不同濃度的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層140。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層140的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層140的最大厚度為約10nm,而在其他實施例中為約8nm。
在第5H圖中,除了具有梯度輪廓之富含鈦(Ti)/鉭(Ta)的層140之外,在第一N型功函數調整材料層100下方還形成了具有梯度輪廓之另一富含鈦(Ti)/鉭(Ta)的層145。在一開始沉積第一N型功函數調整材料層100的時候,減少鈦(Ti)或鉭(Ta)前驅物的含量和/或增加鋁(Al)前驅物的含量,直到另一富含鈦(Ti)/鉭(Ta)的層145形成期望的厚度。在部分實施例中,前 驅物的含量逐漸改變以形成具有逐漸減少的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層145。在其他實施例中,前驅物的含量以階梯式的方式改變以形成具有不同濃度的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層145。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層145的最小厚度為約0.3nm,而在其他實施例中為約0.5nm。在部分實施例中,富含鈦(Ti)/鉭(Ta)的層145的最大厚度為約10nm,而在其他實施例中為約8nm。
在第5I圖中,除了第一屏蔽層110和第二屏蔽層115之外,還在第一N型功函數調整材料層100下方形成第三屏蔽層135。第三屏蔽層135保護第一N型功函數調整材料層100免受基板氧化的影響,並且將鋁(Al)限制在僅在含鋁(Al)的N型功函數調整材料層100內。第一屏蔽層110提供對於自然氧化和/或圖案化損壞的保護。第二屏蔽層115提供保護以防止氧化、防止圖案損壞以及防止在隨後的製程期間用於切割金屬閘極的氣體。
在第5J圖中,除了在第一N型功函數調整材料層100上方的第一屏蔽層110和第二屏蔽層115之外,第三屏蔽層是形成在第一N型功函數調整材料層100下方具有梯度輪廓之富含鈦(Ti)/鉭(Ta)的層145。在一開始沉積第一N型功函數調整材料層100時,減少鈦(Ti)或鉭(Ta)前驅物的含量和/或增加鋁(Al)前驅物的含量,直到形成所需之富含鈦(Ti)/鉭(Ta)的層145的厚度。在部分實施例中,逐漸改變前驅物的含量以形成具有逐漸 減少的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層145。在其他實施例中,前驅物的含量以階梯式的方式改變以形成具有不同濃度的鈦(Ti)或鉭(Ta)之富含鈦(Ti)/鉭(Ta)的層145。
儘管第4圖繪示關於在第5I圖中解釋之受保護的N型功函數調整材料層,第5A圖至第5J圖所示的任何結構都可以作為受保護的N型功函數調整材料層。當在一個場效應電晶中使用兩個或更多個受保護的N型功函數調整材料層時,可以使用相同或不同之受保護的N型功函數調整材料層。
根據本公開的實施例,第6圖繪示製程流程,而第7A圖至第11C圖繪示製造第4圖所示的半導體元件的各個階段的橫截面圖。可以理解,在順序製造過程中,可以在第6圖和第7A圖至第11C圖所示的階段之前、之中和之後提供一個或多個附加操作,並且可以替換或消除下面描述的一些操作以作為對於此方法的其他實施例。操作/過程的順序可以互換。在下面的實施例中,可以採用第1A圖至第5H圖的實施例中所描述的材料、配置、尺寸、製程、操作,並且可以省略其詳細描述。雖然第6圖和第7A圖至第11C圖繪示相對於第4圖和第5I圖說明之受保護的N型功函數調整材料層,但第5A圖至第5J圖中所示的任何結構皆可以作為受保護的N型功函數調整材料層。因此,取決於受保護的N型功函數調整材料層的結構,可以省略第6圖和第7A圖至第11C圖所示的一個或多個操作 或將其替換為另一操作。
在第6圖的S601(類似於第3A圖)中,分別暴露出用於第一N型場效應電晶體N1、第二N型場效應電晶體N2、第三N型場效應電晶體N3、第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3的鰭片結構20的通道區域。在第6圖的S602,在每個通道區域20上形成界面層81。在第6圖的S603,在界面層81上形成閘極介電層(例如,高介電常數閘極介電層)82。如第6圖的S604所示,在閘極介電層82上形成第一導電層以作為覆蓋層83。第7A圖繪示在形成覆蓋層83之後的閘極結構。在第6圖的S605,執行退火操作(金屬後退火(post metal annealing))。在部分實施例中,執行如上所述的氟浸泡操作。
在第6圖的S606中,在覆蓋層83上形成第二導電層以作為第一阻擋層84,如第7B圖所示。在部分實施例中,在退火S605之後去除覆蓋層83,並且不執行第一阻擋層84的形成。
在S607至S610中,形成受保護的N型功函數調整材料層,如第7C圖所示。在第6圖的S607,在阻擋層84上形成第三屏蔽層135,在S608,在第三屏蔽層135上形成第一N型功函數調整材料層100,在第6圖的S609,在第一N型功函數調整材料層100上形成第一屏蔽層110,並且在第6圖的S610處,第二屏蔽層115形成在第一屏蔽層110上。第三屏蔽層135、第一N型功函 數調整材料層100、第一屏蔽層110和第二屏蔽層115皆形成在所有的場效應電晶體區域上,如第7C圖所示。在部分實施例中,在阻擋層84上形成任何一個如第5A圖至第5J圖中所示之受保護的N型功函數調整材料層。
在第6圖的S611中,執行第一圖案化操作以從用於第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域去除第一屏蔽層110和第一N型功函數調整材料層100。在部分實施例中,如第7D圖所示,在第一屏蔽層110上形成由有機材料製成的底部抗反射層200,並且在底部抗反射層200上形成光阻層205。透過使用一個或多個光刻操作,對光阻層205進行圖案化,以在用於P型場效應電晶體的區域處暴露底部抗反射層200。然後,透過一個或多個電漿蝕刻操作去除暴露的底部抗反射層200,以在用於P型場效應電晶體的區域處暴露第一屏蔽層110,如第8A圖所示。電漿蝕刻操作利用包含氮氣(N2)和氫氣(H2)的氣體、包含氧氣(O2)/氯氣(Cl2)的氣體和/或氧氣(O2)氣體。由於第一屏蔽層110設置在用於N型場效應電晶體區域處的第一N型功函數調整材料層100上,因此可以抑制從底部抗反射層200到第一N型功函數調整材料層100的污染(例如,碳和/或氧污染)和/或對第一N型功函數調整材料層100的電漿損害。在部分實施例中,不使用底部抗反射層,並且在第一屏蔽層上形成由有機材料製成的光阻層。在這種情況下,屏蔽層也可避免對N型功函數調 整材料層100的碳/氧污染。
隨後,透過適當的刻蝕操作,去除用於P型場效應電晶體的區域中的第一屏蔽層110和第一N型功函數調整材料層100,如第8B圖所示。在部分實施例中,蝕刻操作包含濕式蝕刻操作。蝕刻溶液(蝕刻劑)包含鹽酸(HCl)和過氧化氫(H2O2)的水溶液、氫氧化銨(NH4OH)和過氧化氫(H2O2)的組合的水溶液、鹽酸(HCl)和氫氧化銨(NH4OH)和過氧化氫(H2O2)的組合的水溶液、氫氟酸(HF)和氫氧化銨(NH4OH)和過氧化氫(H2O2)的水溶液和/或磷酸(H3PO4)和過氧化氫(H2O2)的水溶液。濕式蝕刻基本上在第一阻擋層84處停止,因此第一阻擋層84作為蝕刻停止層。在部分實施例中,在退火S605之後去除覆蓋層83並且在沒有沉積第一阻擋層的情況下,閘極介電層82作為蝕刻停止層而不是第一阻擋層。
在蝕刻操作之後,如第8C圖所示,去除光阻層205和底部抗反射層200。在部分實施例中,執行使用含氧氣體的電漿灰化操作以去除有機光阻層205和底部抗反射層200。在部分實施例中,使用基於氮氣(N2)/氫氣(H2)的電漿或基於四氟甲烷(CF4)的電漿作為電漿灰化操作。由於第一屏蔽層110設置在用於N型場效應電晶體區域處的第一N型功函數調整材料層100上,因此可以抑制第一N型功函數調整材料層100的氧化和/或電漿損害。
在第6圖的S612中,如第8D圖所示,在用於N型場效應電晶體區域處的第一屏蔽層110上,以及在用於P型場效應電晶體區域處的第一阻擋層84上,形成第三導電層以作為第二阻擋層85。第二阻擋層85的覆蓋層形成在N型場效應電晶體和P型場效應電晶體的區域上。
在S613處,在用於N型場效應電晶體和P型場效應電晶體區域處的第二阻擋層85上形成第一P型功函數調整材料層89-1的覆蓋層,如第9A圖所示。
在S614,執行第二圖案化操作以從用於第一N型場效應電晶體N1、第二N型場效應電晶體N2、第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域中去除第一P型功函數調整材料層89-1。在部分實施例中,在第一P型功函數調整材料層89-1上形成由有機材料製成的底部抗反射層210,並且在底部抗反射層210上形成光阻層215,如第9B圖所示。透過使用一個或多個光刻操作,對光阻層215進行圖案化,以在用於第一N型場效應電晶體N1、第二N型場效應電晶體N2、第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域處暴露底部抗反射層210。然後,透過一個或多個電漿蝕刻操作去除暴露的底部抗反射層210,以在用於第一N型場效應電晶體N1和第二N型場效應電晶體N2以及第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域處暴露第一P型功函數調整材料層89-1,如第9C圖所示。電漿蝕刻操作利用包含氮氣(N2)和氫氣(H2)的氣體、包含氧氣 (O2)/氯氣(Cl2)的氣體和/或氧氣(O2)氣體。
隨後,透過適當的蝕刻操作去除用於第一N型場效應電晶體N1和第二N型場效應電晶體N2以及第二P型場效應電晶體P2和第三P型場效應電晶體P3區域中的第一P型功函數調整材料層89-1,如第9D圖所示。在部分實施例中,蝕刻操作包含濕式蝕刻操作。蝕刻溶液(蝕刻劑)包含磷酸(H3PO4)和過氧化氫(H2O2)的水溶液、鹽酸(HCl)和氫氧化銨(NH4OH)和過氧化氫(H2O2)的組合的水溶液。濕式蝕刻基本上在第二阻擋層85處停止,因此第二阻擋層85作為蝕刻停止層。
在蝕刻操作之後,如第10A圖所示,去除光阻層215和底部抗反射層210。在部分實施例中,執行使用含氧氣體的電漿灰化操作以去除有機光阻層215和底部抗反射層210。在部分實施例中,使用基於氮氣(N2)/氫氣(H2)的電漿或基於四氟甲烷(CF4)的電漿作為電漿灰化操作。
在S615處,第二P型功函數調整材料層89-2的覆蓋層形成在用於第一N型場效應電晶體N1和第二N型場效應電晶體N2以及第二P型場效應電晶體P2和第三P型場效應電晶體P3區域處的第二阻擋層85上,並且形成在用於第三N型場效應電晶體N3和第一P型場效應電晶體P1區域處的第一P型功函數調整材料層89-1上,如第10B圖所示。
在S616,執行第三圖案化操作以從用於第一N型 場效應電晶體N1和第三P型場效應電晶體P3的區域去除第二P型功函數調整材料層89-2。在部分實施例中,在第二P型功函數調整材料層89-2上形成由有機材料製成的底部抗反射層220,並且在底部抗反射層220上形成光阻層225,如第10C圖所示。透過使用一個或多個光刻操作,對光阻層225進行圖案化,以在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域處暴露底部抗反射層220。然後,透過一個或多個電漿蝕刻操作去除暴露的底部抗反射層220,以在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域處暴露第二P型功函數調整材料層89-2,如第10D圖所示。電漿蝕刻操作利用包含氮氣(N2)和氫氣(H2)的氣體、包含氧氣(O2)/氯氣(Cl2)的氣體和/或氧氣(O2)氣體。
隨後,透過適當的蝕刻操作,去除用於第一N型場效應電晶體N1和第三P型場效應電晶體P3區域中的第二P型功函數調整材料層89-2,如第11A圖所示。在部分實施例中,蝕刻操作包含濕式蝕刻操作。蝕刻溶液(蝕刻劑)包含磷酸(H3PO4)和過氧化氫(H2O2)的水溶液、鹽酸(HCl)和氫氧化銨(NH4OH)和過氧化氫(H2O2)的組合的水溶液。濕式蝕刻基本上停止在第二阻擋層85處,因此第二阻擋層85作為蝕刻停止層。
在蝕刻操作之後,如第11B圖所示,去除光阻層225和底部抗反射層220。在部分實施例中,執行使用含氧氣體的電漿灰化操作以去除有機光阻層225和底部抗反 射層220。在部分實施例中,使用基於氮氣(N2)/氫氣(H2)的電漿或基於四氟甲烷(CF4)的電漿的電漿灰化操作。
在第6圖的S617中,黏合層87形成在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3區域的第二阻擋層上,並形成在用於第二N型場效應電晶體N2、第三N型場效應電晶體N3以及第一P型場效應電晶體P1和第二P型場效應電晶體P2區域的第二P型功函數調整材料層89-2上,並且在第6圖的S618處,主體金屬層88形成在黏合層87上,如第11C圖所示。
在部分實施例中,第二屏蔽層115形成在S609之後和S617之前的任何階段中。在這種情況下,第二屏蔽層115用於向第一N型功函數調整材料層100提供保護,以防止諸如四氟甲烷(CF4)、氧氣(O2)、六氟乙烷(C2F6)、三氟化硼(BF3)等切割金屬閘極製程的氣體。
第12圖繪示根據本公開的另一實施例之具有不同臨界電壓的場效應電晶體的閘極結構的橫截面圖。在部分實施例中,類似於第4圖的實施例,半導體元件包含第一N型場效應電晶體N1、第二N型場效應電晶體N2、第三N型場效應電晶體N3、第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3。第一N型場效應電晶體N1的臨界電壓的絕對值小於第二N型場效應電晶體N2的臨界電壓的絕對值,並且第二N型場 效應電晶體N2的臨界電壓的絕對值小於第三N型場效應電晶體N3的臨界電壓的絕對值。類似地,第一P型場效應電晶體P1的臨界電壓的絕對值小於第二P型場效應電晶體P2的臨界電壓的絕對值,並且第二P型場效應電晶體P2的臨界電壓的絕對值小於第三P型場效應電晶體P3的臨界電壓的絕對值。雖然第12圖(和第13圖)繪示相對於第5A圖說明之受保護的N型功函數調整材料層,然而在第5A圖至第5J圖中所示的任何結構都可以作為受保護的N型功函數調整材料層。
在部分實施例中,第一N型場效應電晶體N1的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、第一N型功函數調整材料層100、第一屏蔽層110、黏合層87和主體金屬層88。在部分實施例中,第二N型場效應電晶體N2的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第二P型功函數調整材料層89-2、第三導電層(第二阻擋層)85、第一N型功函數調整材料層100、第一屏蔽層110、黏合層87和主體金屬層88。在部分實施例中,第三N型場效應電晶體N3的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一P型功函 數調整材料層89-1、第二P型功函數調整材料層89-2、第三導電層(第二阻擋層)85、第一N型功函數調整材料層100、第一屏蔽層110、黏合層87和主體金屬層88。第一N型場效應電晶體N1的閘極結構不包含P型功函數調整材料層。在部分實施例中,在N型場效應電晶體N1、N2和N3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。
在部分實施例中,第一P型場效應電晶體P1的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一P型功函數調整材料層89-1、第二P型功函數調整材料層89-2、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第二P型場效應電晶體P2的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第二P型功函數調整材料層89-2、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第三P型場效應電晶體P3的閘極結構在較靠近通道區域20處包含按下列順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。第三P型場效應電晶體P3的閘極結構不包含P型功函數調整材料層。在部分實施例中,第二阻 擋層85可以作為功函數調整材料層。在部分實施例中,在P型場效應電晶體P1、P2和P3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。
在部分實施例中,第12圖中所示的層之材料、構造和尺寸可以與第4圖所示的層相同。
第13圖繪示根據本公開的實施例之製造第12圖中所示的半導體元件的各個階段的製程流程。可以理解,在順序製造過程中,可以在第13圖所示的階段之前、之中和之後提供一個或多個附加操作,並且下面描述的一些操作可以被替換或消除以作為對於此方法的其他實施例。操作/製程的順序可以互換。在以下實施例中可以採用第1A圖至第11C圖所描述的材料、配置、尺寸、過程和/或操作,並且可以省略其詳細描述。
第13圖的操作S701、S702、S703、S704、S705和S706分別與第6圖的操作S601、S602、S603、S604、S605和S606相同。
在第13圖的S707中,在第一阻擋層84上形成第一P型功函數調整材料層89-1的覆蓋層。然後,在第13圖的S708,執行第一圖案化操作以從用於第一N型場效應電晶體N1和第二N型場效應電晶體N2的區域以及用於第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域去除第一P型功函數調整材料層89-1,如第14A圖所示。第一圖案化操作類似於關於第9B圖至第10A圖所解釋的第二圖案化操作。
在第13圖的S709中,第二P型功函數調整材料層89-2的覆蓋層形成在用於第一N型場效應電晶體N1和第二N型場效應電晶體N2的區域以及用於第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域的第一阻擋層84上,並且形成在用於第三N型場效應電晶體N3和第一P型場效應電晶體P1的區域的第一P型功函數調整材料層89-1上。然後,在第13圖的S710,執行第二圖案化操作以從用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域中去除第一P型功函數調整材料層89-1,如第14B圖所示。第二圖案化操作類似於關於第10C圖至第11B圖所解釋的第三圖案化操作。
在S711處,第二阻擋層85的覆蓋層形成在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域的第一阻擋層84上,並且形成在用於第二N型場效應電晶體N2和第三N型場效應電晶體N3以及第一P型場效應電晶體P1和第二P型場效應電晶體P2的區域的第二P型功函數調整材料層89-2上。
隨後,在第13圖的S712,在第二阻擋層85上形成第一N型功函數調整材料層100,並且在第13圖的S713,在第一N型功函數調整材料層100上形成第一屏蔽層110,如第14C圖所示。
在第13圖的S714中,執行第三圖案化操作以從用於第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域中去除第一屏蔽層 110和第一N型功函數調整材料層100,如第14D圖所示。第三圖案化操作類似於關於第7D圖至第8C圖所解釋的第一圖案化操作。
然後,在第13圖的S715中,黏合層87形成在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域的第二阻擋層上,並且形成在用於第二N型場效應電晶體N2和第三N型場效應電晶體N3以及第一P型場效應電晶體P1和第二P型場效應電晶體P2的區域的第二P型功函數調整材料層89-2上(類似於第6圖的S617的操作),並且在第13圖的S716中,主體金屬層88形成在黏合層87上(類似於第6圖的S618)以得到如第12圖所示的結構。
第15圖繪示根據本公開的另一實施例之具有不同臨界電壓的場效應電晶體的閘極結構的橫截面圖。在部分實施例中,類似於第4圖和第12圖的實施例,半導體元件包含第一N型場效應電晶體N1、第二N型場效應電晶體N2、第三N型場效應電晶體N3、第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3。第一N型場效應電晶體N1的臨界電壓的絕對值小於第二N型場效應電晶體N2的臨界電壓的絕對值,並且第二N型場效應電晶體N2的臨界電壓的絕對值小於第三N型場效應電晶體N3的臨界電壓的絕對值。類似地,第一P型場效應電晶體P1的臨界電壓的絕對值小於第二P型場效應電晶體P2的臨界電壓的絕對值,並且第二P型場效 應電晶體P2的臨界電壓的絕對值小於第三P型場效應電晶體P3的臨界電壓的絕對值。雖然第15圖(和第16圖)繪示相對於第5A圖說明之受保護的N型功函數調整材料層,然而第5A圖至第5J圖中所示的任何結構都可以作為受保護的N型功函數調整材料層。
在部分實施例中,第一N型場效應電晶體N1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第二N型場效應電晶體N2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、第二P型功函數調整材料層89-2、黏合層87和有主體金屬層88。在部分實施例中,第三N型場效應電晶體N3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一P型功函數調整材料層89-1、第一N型功函數調整材料層100、第一屏蔽層110、第三導電層(第二阻擋層)85、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。第一N型場效應電晶體N1的閘極結構不包含P型 功函數調整材料層。在部分實施例中,在N型場效應電晶體N1、N2和N3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。
在部分實施例中,第一P型場效應電晶體P1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一P型功函數調整材料層89-1、第三導電層(第二阻擋層)85、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。在部分實施例中,第二P型場效應電晶體P2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、第二P型功函數調整材料層89-2、黏合層87和主體金屬層88。在部分實施例中,第三P型場效應電晶體P3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。第三P型場效應電晶體P3的閘極結構不包含P型功函數調整材料層。在部分實施例中,第二阻擋層85可以作為功函數調整材料層。在部分實施例中,在P型場效應電晶體P1、P2和P3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。在部分實施例中,第15圖所示之層的材料、構造和尺寸與第4 圖和第12圖所示的各層相同。
第16圖繪示根據本公開實施例中製造第15圖中所示的半導體元件的各個階段的製程流程。可以理解,在順序製造過程中,可以在第16圖所示的階段之前、之中和之後提供一個或多個附加操作,並且以下描述的一些操作可以被替換或消除以作為對於此方法的其他實施例。操作/過程的順序可以互換。在以下實施例中可以採用關於第1A圖至第14D圖的實施例所描述的材料、配置、尺寸、過程和/或操作,並且可以省略其詳細描述。
第16圖的S801、S802、S803、S804、S805和S806的操作分別與第6圖的S601、S602、S603、S604、S605和S606的操作相同。
在第16圖的S807中,在第一阻擋層84上形成第一P型功函數調整材料層89-1的覆蓋層。然後,在第16圖的S808,執行第一圖案化操作以從用於第一N型場效應電晶體N1和第二N型場效應電晶體N2的區域以及用於第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域去除第一P型功函數調整材料層89-1,如第17A圖所示。第一圖案化操作類似於關於第9B圖至第10A圖所解釋的第二圖案化操作。
在第16圖的S809中,在第二阻擋層85上形成第一N型功函數調整材料層100,並且在第16圖的S810中,在第一N型功函數調整材料層100上形成第一屏蔽層110。在第16圖的S811中,執行第二圖案化操作以從用 於第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域中去除第一屏蔽層110和第一N型功函數調整材料層100,如第17B圖所示。第二圖案化操作類似於關於第7D圖至第8C圖所解釋的第一圖案化操作。
在S812處,第二阻擋層85的覆蓋層形成在用於第一N型場效應電晶體N1、第二N型場效應電晶體N2和第三N型場效應電晶體N3的區域的第一屏蔽層110上,並且形成在用於第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域的第一阻擋層84上,以及形成在用於第一P型場效應電晶體P1的區域的第一P型功函數調整材料層89-1上,如第17C圖所示。
隨後,在第16圖的S813,在第二阻擋層85上形成第二P型功函數調整材料層89-2的覆蓋層。然後,在第16圖的S814,執行第三圖案化操作以從用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域去除第二P型功函數調整材料層89-2,如第17D圖所示。第三圖案化操作類似於關於第10C圖至第11B圖所解釋的第三圖案化操作。
在第16圖的S815中,黏合層87形成在用於第一N型場效應電晶體N1和第三P型場效應電晶體P3的區域的第二阻擋層上,並且形成在用於第二N型場效應電晶體N2和第三N型場效應電晶體N3以及第一P型場效應電晶體P1和第二P型場效應電晶體P2的第二P型功函 數調整材料層89-2上(類似於第6圖的S617的操作),然後在第16圖的S816中,主體金屬層88形成在黏合層87上(類似於第6圖的S618)以獲得第15圖所示的結構。
第18圖繪示根據本公開另一實施例之具有不同臨界電壓的場效應電晶體的閘極結構的橫截面圖。在部分實施例中,類似於第4圖、第12圖和第15圖的實施例,半導體元件包含第一N型場效應電晶體N1、第二N型場效應電晶體N2、第三N型場效應電晶體N3、第一P型場效應電晶體P1、第二P型場效應電晶體P2和第三P型場效應電晶體P3。第一N型場效應電晶體N1的臨界電壓的絕對值小於第二N型場效應電晶體N2的臨界電壓的絕對值,並且第二N型場效應電晶體N2的臨界電壓的絕對值小於第三N型場效應電晶體N3的臨界電壓的絕對值。類似地,第一P型場效應電晶體P1的臨界電壓的絕對值小於第二P型場效應電晶體P2的臨界電壓的絕對值,並且第二P型場效應電晶體P2的臨界電壓的絕對值小於第三P型場效應電晶體P3的臨界電壓的絕對值。雖然第18圖(和第19圖)繪示關於第5A圖說明之受保護的N型功函數調整材料層,然而在第5A圖至第5J圖中所示的任何結構都可以作為受保護的N型功函數調整材料層。
在部分實施例中,第一N型場效應電晶體N1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第 二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第二N型功函數調整材料層101、第二屏蔽層111、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第二N型場效應電晶體N2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第一N型功函數調整材料層100、第一屏蔽層110、第二N型功函數調整材料層101、第二屏蔽層111、第三導電層(第二阻擋層)85、第一P型功函數調整材料層89-1、黏合層87和主體金屬層88。在部分實施例中,第三N型場效應電晶體N3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第二N型功函數調整材料層101、第二屏蔽層111、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。用於第一N型場效應電晶體N1和第三N型場效應電晶體N3的閘極結構不包含P型功函數調整材料層。在部分實施例中,在N型場效應電晶體N1、N2和N3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。
在部分實施例中,第一P型場效應電晶體P1的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層) 85、第一P型功函數調整材料層89-1、黏合層87和主體金屬層88。在部分實施例中,第二P型場效應電晶體P2的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第三導電層(第二阻擋層)85、黏合層87和主體金屬層88。在部分實施例中,第三P型場效應電晶體P3的閘極結構包含在較靠近通道區域20側按以下順序堆疊的界面層81、閘極介電層82、第一導電層(覆蓋層)83、第二導電層(第一阻擋層)84、第二N型功函數調整材料層101、第二屏蔽層111、第三導電層(第二阻擋層)85、第一P型功函數調整材料層89-1、黏合層87和主體金屬層88。第二P型場效應電晶體P3的閘極結構不包含功函數調整材料層。在部分實施例中,第二阻擋層85可以作為功函數調整材料層。在部分實施例中,在P型場效應電晶體P1、P2和P3中不存在第一導電層(覆蓋層)83和第二導電層(第一阻擋層)84。
在部分實施例中,第18圖中所示之層的材料、構造和尺寸可以與第4圖、第12圖和第15圖所示的各層相同。
第19圖繪示根據本公開實施例中製造第18圖中所示的半導體元件的各個階段的製程流程。可以理解,在順序製造過程中,可以在第19圖所示的階段之前、之中和之後提供一個或多個附加操作,並且可以替換或消除下面描述的一些操作以作為對於此方法的其他實施例。操作/過 程的順序可以互換。在以下實施例中可以採用關於第1A圖至第17D圖的實施例所描述的材料、配置、尺寸、製程和/或操作,並且可以省略其詳細描述。
第19圖的S901、S902、S903、S904、S905和S906的操作分別與第6圖的S601、S602、S603、S604、S605和S606的操作相同。
在第19圖的S907中,在第一阻擋層84上形成第一N型功函數調整材料層100的覆蓋層。然後,在第19圖的S908中,在第一N型功函數調整材料層100上形成第一屏蔽層110。在第19圖的S909中,執行第一圖案化操作以從用於第三N型場效應電晶體N3的區域和用於P型場效應電晶體P1、P2、P3的區域去除第一N型功函數調整材料層100,如第20A圖所示。第一圖案化操作類似於關於第7D圖至第8C圖所解釋的第一圖案化操作。
在第19圖的S910中,第二N型功函數調整材料層101形成在用於第一N型場效應電晶體N1和第二N型場效應電晶體N2的區域的第一屏蔽層上,並且形成在用於第三N型場效應電晶體N3和P型場效應電晶體P1、P2、P3的區域的第一阻擋層84上。在第19圖的S911,在第二N型功函數調整材料層101上形成第二屏蔽層111。在第19圖的S912,執行第二圖案化操作以從用於第二P型場效應電晶體P2和第三P型場效應電晶體P3的區域中去除第二屏蔽層111和第二N型功函數調整材料 層101,如第20B圖所示。第二圖案化操作類似於關於第7D圖至第8C圖所解釋的第一圖案化操作。
在S913,第二阻擋層85的覆蓋層形成在用於N型場效應電晶體N1、N2、N3和第三P型場效應電晶體P3的區域的第二屏蔽層111上,並且形成在用於第一P型場效應電晶體P1和第二P型場效應電晶體P2的區域的第一屏蔽層84上,如第20C圖所示。
隨後,在第19圖的S914中,在第二阻擋層85上形成第一P型功函數調整材料層89-1的覆蓋層。然後,在第19圖的S915中,執行第三圖案化操作以從用於第一N型場效應電晶體N1和第三N型場效應電晶體N3和第二P型場效應電晶體P2的區域中去除第一P型功函數調整材料層89-1,如第20D圖所示。第三圖案化操作類似於關於第10C圖至第11B圖所解釋的第三圖案化操作。在第19圖的S916中,黏合層87形成在用於第一N型場效應電晶體N1和第三N型場效應電晶體N3和第二P型場效應電晶體P2的區域的第二阻擋層上,並且形成在用於第一P型場效應電晶體P1和第三P型場效應電晶體P3的區域的第一P型功函數調整材料層89-1上(類似於第6圖的S617的操作),在第19圖的S917中,主體金屬層88形成在黏合層87上(類似於第6圖的S618)以得到如第18圖所示的結構。在前述實施例中,圖案化操作(從形成底部抗反射塗層和/或光阻層到去除(電漿灰化)底部抗反射塗層和/或光阻)的總數為三,以獲得具有三種不同 的臨界電壓的N型場效應電晶體以及具有三種不同的臨界電壓的P型場效應電晶體。在本公開的部分實施例中,當N型場效應電晶體之不同臨界電壓的數量為N,並且P型場效應電晶體之不同臨界電壓的數量為M時,圖案化操作的總數等於(N+M)/2,其中N是至少為兩的自然數並且M是至少為兩的自然數。在部分實施例中,N型功函數調整材料層和P型功函數調整材料層的總數等於(N+M)/2。N型功函數調整材料層的數量為Y,其中Y小於N(Y<N),而P型功函數調整材料層的數量為X,其中X<M-Y。在部分實施例中,N為三個,M為三個,Y為一個且X為兩個。在其他實施例中,N是三個,M是三個,Y是兩個並且X是一個。
在部分實施例中,同時執行N型功函數調整材料層中的至少一個的圖案化操作與P型功函數調整材料層中的至少一個的圖案化操作(即,同時對N型功函數調整材料層和P型功函數調整材料層兩者進行圖案化)。
第21A圖和第21B圖繪示透過使用X射線光電子能譜沿著閘極結構的深度方向的元素分析結果。在第21A圖中,使用了在基板(矽(Si))上具有阻擋層(氮化鉭(TaN)),在阻擋層上具有N型功函數調整材料層(鋁化鈦(TiAl)),以及在N型功函數調整材料層上具有黏合層(即,沒有任何屏蔽層)的樣品,在第21B圖中,使用了在基板(矽(Si))上具有阻擋層(氮化鉭(TaN)),在阻擋層上具有由N型功函數調整材料層(鋁化鈦 (TiAl))和富含梯度鈦(Ti)的底層一起形成的第三屏蔽層145,在N型功函數調整材料層上具有屏蔽層(氮化鈦(TiN))110,以及在屏蔽層上具有黏合層的樣品。
如第21A圖和第21B圖所示,透過使用屏蔽層抑制了N型功函數調整材料層(鋁化鈦(TiAl)層)的氧化。在部分實施例中,在第21B圖中鋁化鈦(TiAl)層表面處的氧濃度為約4原子%,而在第21A圖中鋁化鈦(TiAl)層表面處的氧濃度為約28原子%。在部分實施例中,在第21B圖中鋁化鈦(TiAl)層的最高氧濃度為約24原子%,而在第21A圖中鋁化鈦(TiAl)層的最高氧濃度為約30原子%。屏蔽層還為下面的阻擋層、覆蓋層(未繪示)和/或矽(Si)基板提供了部分的保護。在部分實施例中,在沒有屏蔽層的情況下,鋁化鈦(TiAl)層中總氧量為約26原子%至約68原子%,而在鋁化鈦(TiAl)層中的總氧量為約1.5原子%至約22原子%。較厚的屏蔽膜可提供更好的保護,並且原位膜可提供更好的保護。
第22A圖、第22B圖、第22C圖、第22D圖、第22E圖和第22F圖繪示根據本公開實施例之來自N型功函數調整材料層的鋁2p軌域、碳1s軌域和氟1s軌域峰的x射線光電子能譜(x-ray photo electron spectroscopy,XPS)光譜。第22A圖至第22C圖繪示在鋁化鈦(TiAl)層上具有氮化鈦(TiN)屏蔽層的樣品的結果。第22D圖至第22F圖繪示在鋁化鈦(TiAl)層上具有氮化鉭(TaN)屏蔽層的樣品的結果。在第22A 圖至第22F圖中,實線繪示在圖案化操作之前的強度,而虛線繪示在圖案化操作之後的強度。
如第22A圖和第22D圖所示,屏蔽層的使用可以減少鋁化鈦(TiAl)層的氧化和/或對鋁化鈦(TiAl)層的氧污染。特別地,與不具有屏蔽層的樣品相比,具有屏蔽層的樣品在圖案化操作之後氧氣的含量顯著地減少。類似地,如第22B圖和第22C圖所示,屏蔽層的使用可以減少對鋁化鈦(TiAl)層的碳污染。特別地,與不具有屏蔽層的樣品相比,具有屏蔽層的樣品在圖案化操作之後碳的污染被顯著地抑制。如第22C圖和第22F圖所示,當在圖案化期間的電漿蝕刻、灰化中使用含氟氣體時,使用屏蔽層還可以減少對鋁化鈦(TiAl)層的氟污染。特別地,與不具有屏蔽層的樣品相比,具有屏蔽層的樣品在圖案化操作之後氟的污染被顯著地抑制。
第23A圖和23B繪示根據本公開實施例之屏蔽層的益處。第23A圖繪示如上所述之第一N型場效應電晶體、第二N型場效應電晶體、第三N型場效應電晶體以及第一P型場效應電晶體、第二P型場效應電晶體和第三P型場效應電晶體的功函數,而第23B圖繪示如上所述之第一N型場效應電晶體、第二N型場效應電晶體、第三N型場效應電晶體以及第一P型場效應電晶體、第二P型場效應電晶體和第三P型場效應電晶體的臨界電壓。如上所述,第一N型場效應電晶體N1的臨界電壓的絕對值小於第二N型場效應電晶體N2的臨界電壓的絕對值,並且第 二N型場效應電晶體N2的臨界電壓在絕對值小於第三N型場效應電晶體N3的臨界電壓的絕對值。類似地,第一P型場效應電晶體P1的臨界電壓的絕對值小於第二P型場效應電晶體P2的臨界電壓的絕對值,並且第二P型場效應電晶體P2的臨界電壓的絕對值小於第三P型場效應電晶體P3的臨界電壓的絕對值。
在第23A圖和第23B圖中,正方形代表第一比較結構,其中P型功函數調整材料層和N型功函數調整材料層覆蓋地形成在所有的場效應電晶體區域上,並且在每次形成功函數調整材料層時,刪除在一個或多個場效應電晶體區域上不需要功函數調整材料層之功函數調整材料層的一部分。例如,在N型場效應電晶體N1中,在第一阻擋層84上設置一個N型功函數調整材料層;在N型場效應電晶體N2中,在第一阻擋層84上設置一個P型功函數調整材料層和一個N型功函數調整材料層;在N型場效應電晶體N3中,在第一阻擋層84上設置兩個P型功函數調整材料層和一個N型功函數調整材料層;在P型場效應電晶體P3中,在第一阻擋層84上設置三個P型功函數調整材料層和一個N型功函數調整材料層;在P型場效應電晶體P2中,在第一阻擋層84上設置四個P型功函數調整材料層和一個N型功函數調整材料層;在P型場效應電晶體P1中,在第一阻擋層84上設置五個P型功函數調整材料層和一個N型功函數調整材料層。在第二比較結構中,沉積三個功函數調整材料層並執行三個圖案化操作。此外,由於 在狹窄的閘極空間中的間隙填充問題,P型場效應電晶體的臨界電壓(的絕對值)變得高於N型場效應電晶體的臨界電壓(的絕對值)。
在第23A圖和第23B圖中,三角形表示不具有屏蔽層的第二比較結構,其中,在製造過程中,N型功函數調整材料層曾經形成在P型場效應電晶體P1、P2和P3上,然後又從P型場效應電晶體P1、P2和P3上去除。除了使用屏蔽層之外,第二比較例與前述實施例相似。從P型場效應電晶體中去除N型功函數調整材料層(如上所述)可以減少功函數調整材料層的數量和圖案化操作的次數。從P型場效應電晶體中去除N型功函數調整材料層會導致有效功函數的增加並減少P型場效應電晶體的臨界電壓。然而,由於不存在屏蔽層,因此,在圖案化製程期間,N型場效應電晶體上的N型功函數調整材料層(例如,鋁化鈦(TiAl))會被嚴重地損壞。例如,在圖案化N型功函數調整材料層鋁化鈦(TiAl)以選擇性地將其從P型場效應電晶體中去除時,N型場效應電晶體上的鋁化鈦(TiAl)層會被氧化並會被氧(O)、碳(C)、氮(N)和/或氟(F)破壞和/或污染,這將會增加其功函數和/或平坦帶電壓(flat band voltage),從而增加N型場效應電晶體的臨界電壓。
在第23A圖和第23B圖中,圓形表示在N型功函數調整材料層上具有屏蔽層之本實施例的結構(例如,第5A圖),其中,在製造過程中,N型功函數調整材料 層曾經形成在P型場效應電晶體P1、P2和P3上,然後又從P型場效應電晶體P1、P2和P3上去除。與比較結構相比,當使用屏蔽層保護N型功函數調整材料層時,可以抑制圖案化製程對N型功函數調整材料(鋁化鈦(TiAl))層的破壞(如第22A圖至第22F圖所示,由較少的氧(O)、碳(C)、氟(F)和氮(N)峰表示),因此,可以維持N型功函數調整材料層的低功函數和低平坦帶電壓(其導致N型金屬氧化物半導體的低臨界電壓)。此外,可以實現良好平衡的N型金屬氧化物半導體和P型金屬氧化物半導體的臨界電壓。
第24圖繪示根據本公開實施例之半導體元件的橫截面圖。在部分實施例中,在退火操作之後去除第一導電層(覆蓋層)83,並且在閘極結構中不形成第一阻擋層84。因此,當透過使用濕式蝕刻去除覆蓋層83並跳過第一阻擋層84的形成來執行對應於第4圖說明的製造操作時,用於第一至第三N型和P型場效應電晶體的閘極結構會具有如第24圖所示的結構。在這種情況下,N型功函數調整材料層100形成在閘極介電層82的正上方,並且閘極介電層82在圖案化操作期間作為濕式蝕刻停止層。
第25圖繪示根據本公開實施例之半導體元件的橫截面圖。在部分實施例中,在第一屏蔽層110(和111)和第一N型功函數調整材料層100(和101)的圖案化操作之後,去除第一屏蔽層110(和/或115、120、125、130)。因此,當執行如第4圖說明的製造操作時,用於 第一至第三的N型和P型場效應電晶體的閘極結構會具有如第24圖所示的結構。當屏蔽層被去除時,屏蔽層不僅可由上述材料(通常是導電材料或層)製成,而且還可由介電材料製成(例如,氧化矽、氮化矽、氧化鋁、氮化鋁、氧化鉿或相對於N型功函數調整材料層可以選擇性地被去除之任何其他合適的介電材料)。
根據本公開的實施例,第26A圖繪示環形振盪器(ring oscillator)的電路圖,第26B圖繪示環形振盪器的佈局,而第26C圖繪示沿著第26B圖的線X1-X2的橫截面圖。在部分實施例中,環形振盪器的反相器(inverter)由在N型場效應電晶體中具有最高的臨界電壓(的絕對值)的第三N型場效應電晶體和在P型場效應電晶體中具有最高的臨界電壓(的絕對值)的第三P型場效應電晶體形成。在反相器中,N型場效應電晶體和P型場效應電晶體彼此靠近地配置,如第26B圖所示。如第4圖、第12圖、第15圖和第18圖所示,用於第三N型場效應電晶體N3之包含鋁(Al)的N型功函數調整材料與用於第三P型場效應電晶體P3的P型功函數調整材料層位於不同的垂直高度。在前述實施例中,第三N型場效應電晶體N3的N型功函數調整材料層與第三P型場效應電晶體P3的P型功函數調整材料層從不直接接觸。在第4圖中,第三N型場效應電晶體N3的N型功函數調整材料層設置在與第二阻擋層85和黏合層87相同的水平上。在第12圖中,第三N型場效應電晶體N3的N型功函數調 整材料層設置在與第三P型場效應電晶體P3的主體金屬層88相同的水平上。在第15圖中,第三N型場效應電晶體N3的N型功函數調整材料層設置在與第三P型場效應電晶體P3的黏合層87和主體金屬層88相同的水平上。在第18圖中,第三N型場效應電晶體N3的N型功函數調整材料層設置在與第三P型場效應電晶體P3的N型功函數調整材料層相同的水平上。當N型功函數調整材料層包含鋁(Al)時,鋁可能擴散到相鄰的場效應電晶體中,並且當相鄰的場效應電晶體包含與N型功函數調整材料層位於同一水平的P型功函數調整材料層時,擴散到P型功函數調整材料層的鋁會引起功函數的改變(例如,減小P型功函數調整材料層的功函數),從而使N型場效應電晶體的臨界電壓下降,並且更具體地,使P型場效應電晶體裝置的臨界電壓下降。在環形振盪器的反相器的情況下,在沿著線Y1-Y2的金屬邊界處(即,在N型場效應電晶體和P型場效應電晶體的界面處),P型場效應電晶體的層99直接接觸N型場效應電晶體的層100。由於在兩個N型場效應電晶體之間的P型場效應電晶體的層99與P型功函數調整材料層不同,因此不會發生鋁(Al)擴散的問題和/或從N型場效應電晶體的100擴散到P型場效應電晶體的層99的鋁(Al)並不會降低P型功函數調整材料層的功函數,因此即使距離D1很小,也可避免損壞P型場效應電晶體的臨界電壓。第26D圖繪示相對於鄰近的N型場效應電晶體裝置距離D1(如第26B圖所示)之P 型場效應電晶體裝置的平帶電壓(Vfb)偏移。
第27A圖繪示閘極切割製程,第27B圖繪示沒有屏蔽層的接縫空隙缺陷,第27C圖繪示具有屏蔽層的接縫空隙缺陷,而第27D圖繪示屏蔽層的損壞保護效果。在部分實施例中,在形成金屬閘極結構之後,基於電路設計將一個或多個金屬閘極結構切成片斷。當沿Y方向的閘極空間較小時(對於較小的閘極長度的裝置),黏合層87和/或主體金屬層88可能不會完全地填充閘極空間,從而形成空隙或接縫270,如第27B圖和第27C圖所示。在耦合金屬閘極(CMG)區域處的金屬閘極切割製程期間,空隙270暴露於用於金屬閘極切割製程的氣體。氣體包含氧氣(O2)、四氟甲烷(CF4)、六氟乙烷(C2F6)、氯氣(Cl2)和三氯化硼(BCl3)中的一種或多種。如第27B圖所示,當不使用屏蔽層時,第一N型功函數調整材料層100會暴露在空隙270中並且可能會被氣體損壞。相反地,如第27C圖所示,使用屏蔽層110可以保護第一N型功函數調整材料層100不受氣體影響。如第27D圖所示,當使用屏蔽層時,即使通道長度Lg變小(這意味著較小的閘極空間)也會保持臨界電壓。
將理解的是,在本文中並非必須討論所有的益處,對於所有的實施例或示例皆不需要特定的益處,並且其他實施例或示例可以提供不同的益處。
根據本公開的一個方面,一種半導體元件包含設置在通道區域上方的閘極結構和源極/汲極區域。閘極結構包 含位於通道區域上方的閘極介電層、位於閘極介電層上方的第一功函數調整層、位於第一功函數調整層上方的第一屏蔽層、第一阻擋層以及金屬閘極電極層。第一功函數調整層包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一個製成。在前述和以下實施例中的一個或多個中,第一功函數調整層是N型功函數調整材料調整層,其由具有在2.5eV至4.4eV範圍內的低功函數和/或具有低電負性的材料組成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。在前述和以下 實施方式的一個或多個中,第一屏蔽層由選自鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中的至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層的鋁含量為30原子%至55原子%。在前述和以下實施例中的一個或多個中,第一屏蔽層是第一功函數調整層的表面處理層,並且包含透過化學轉化反應之一(透過離子注入)形成的氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個。在前述和以下實施例中的一個或多個中,金屬閘極電極層包含黏合層和主體金屬層。在前述和以下實施例中的一個或多個中,半導體元件更包含第二屏蔽層,此第二屏蔽層設置在第一功函數調整層下方或第一屏蔽層上方。在前述和以下實施例中的一個或多個中,半導體元件更包含第二功函數調整層,此第二功函數調整層由與第一功函數調整層不同的材料製成並且具有比第一功函數調整層相對較高的功函數。在前述和以下實施例中的一個或多個中,第二功函數調整層是P型功函數調整層,其由具有在4.3eV至5.8eV範圍內的高功函數和/或由具有高電負性的材料製成。在前述和以下實施例中的一個或多個中,半導體元件更包含第三功函數調整層,此第三功函數調整層由與第一功函數調整層不同的材料製成,並且具有比第一功函數調整層高的功函數。在前述和以下實施例中的一個或多個中,第三功函數調整層是P型功函數調整層,其 由具有在4.3eV至5.8eV範圍內的高功函數和/或具有高電負性的材料製成。在前述和以下實施例中的一個或多個中,P型功函數調整層由氮化鈦(TiN)、氮化鎢(WN)、氮化碳鎢(WCN)、氮化鉬(MoN)和氮化鈦(TaN)之一製成。第一功函數調整層位於第二功函數調整層和第三功函數調整層之間。在前述實施例和以下實施例中的一個或多個中,半導體元件更包含設置在閘極介電層上方的覆蓋層以及設置在覆蓋層上的第二阻擋層。在前述實施例和以下實施例中的一個或多個中,半導體元件更包含在其中包含鋁並且設置在第一屏蔽層上方的第二功函數調整層,以及設置在第二功函數調整層上的第二屏蔽層。
根據本公開的另一方面,一種半導體元件包含:第一場效應電晶體(其包含設置在第一通道區域上方的第一閘極結構)以及第二場效應電晶體(其包含設置在第二通道區域上方的第二閘極結構)。第一場效應電晶體的臨界電壓的絕對值小於第二場效應電晶體的臨界電壓的絕對值。第一閘極結構和第二閘極結構中的每一個均包含閘極介電層、在閘極介電層上方的第一功函數調整層、在第一功函數調整層上方的第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。第 二閘極結構更包含第二功函數調整層,此第二功函數調整層由與第一功函數調整層不同的材料製成,並且第一閘極結構不包含第二功函數調整層。在前述和以下實施例中的一個或多個中,在第二閘極結構中,第二功函數調整層透過第一阻擋層與第一功函數調整層分開。在前述和以下實施例中的一個或多個中,第一阻擋層設置在第一屏蔽層上方,並且第二閘極結構的第二功函數調整層設置在第一阻擋層上方。在前述和以下實施例中的一個或多個中,第二閘極結構更包含第三功函數調整層,此第三功函數調整層由與第一功函數調整層不同的材料製成並且設置在第一功函數調整層下方。在前述和以下實施例中的一個或多個中,第一阻擋層設置在第一功函數調整層之下,第二閘極結構的第二功函數調整層設置在第一阻擋層之下。在前述和以下實施例中的一個或多個中,第一閘極結構和第二閘極結構中的每個均更包含第三功函數調整層(此第三功函數調整層包含設置在第一屏蔽層上方的鋁)以及第二屏蔽層(其設置在第三功函數調整層上方)。在前述和以下實施例中的一個或多個中,第一閘極結構更包含包含鋁的第三功函數調整層和設置在第三功函數調整層上方的第二屏蔽層。第二閘極結構不包含第三功函數調整層和第二屏蔽層。在前述和以下實施例中的一個或多個中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一個製成。在前述和以下實施例中的一 個或多個中,第一功函數調整層是N型功函數調整層,其由具有在2.5eV至4.4eV範圍內的低功函數和/或具有低電負性的材料製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。在前述和以下實施方式的一個或多個中,第一屏蔽層由選自於由鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層的鋁含量為30原子%至55原子%。在前述和以下實施例中的一個或多個中,第一屏蔽層是第一功函數調整層的表面層,並且包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個。在前述和以下實施例中的一個或多個中,金屬閘極電極層包含黏合層和主體金屬層。
根據本公開的另一方面,一種半導體元件包含:第 一場效應電晶體(其包含設置在第一通道區域上方的第一閘極結構)、第二場效應電晶體(其包含設置在第二通道區域上方的第二閘極結構)以及第三場效應電晶體(其包含設置在第三通道區域上方的第三閘極結構)。第一場效應電晶體的臨界電壓的絕對值小於第二場效應電晶體的臨界電壓的絕對值,並且第二場效應電晶體的臨界電壓的絕對值小於第三場效應電晶體的臨界電壓的絕對值。第一閘極結構、第二閘極結構和第三閘極結構中的每一個均包含第一閘極介電層、在第一閘極介電層上方的第一功函數調整層、在第一功函數調整層上方的第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。第三閘極結構更包含由與第一功函數調整層不同的材料製成的第二功函數調整層,並且第一閘極結構和第二閘極結構不包含第二功函數調整層。在前述和以下實施例中的一個或多個中,第二閘極結構和第三閘極結構更包含由與第一功函數調整層不同的材料製成的第三功函數調整層,並且第一閘極結構不包含第三功函數調整層。在前述和以下實施例中的一個或多個中,第二功函數調整層設置在第一功函數調整層下方。在前述和以下實施例中的一個或多個中,在第三閘極結構中的第二功函數調整層和第 三功函數調整層的厚度大於在第二閘極結構中的第三功函數調整層的厚度。在前述和以下實施例中的一個或多個中,在第二閘極結構和第三閘極結構中,第三功函數調整層透過第一阻擋層與第一功函數調整層分開。在前述和以下實施例中的一個或多個中,第一阻擋層設置在第一屏蔽層上方,並且第二閘極結構的第三功函數調整層設置在第一阻擋層上方。在前述和以下實施例中的一個或多個中,第一阻擋層設置在第一功函數調整層下方,第二閘極結構和第三閘極結構的第三功函數調整層設置在第一阻擋層下方。在前述和以下實施例中的一個或多個中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一個製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。在前述和以下實施方式的一個或多個中,第一屏蔽層由選自於由鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W) 和鉬(Mo)所組成的群組中的至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層的鋁含量為30原子%至55原子%。在前述和以下實施例中的一個或多個中,第一屏蔽層是第一功函數調整層的表面層,並且包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個。在前述和以下實施例中的一個或多個中,金屬閘極電極層包含黏合層和主體金屬層。在前述和以下實施例中的一個或多個中,半導體元件更包含第四場效應電晶體,此第四場效應電晶體包含設置在第四通道區域上方並且具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電性的第四閘極結構。第四閘極結構包含在第四通道區域上方的第二閘極介電層、在閘極介電層上方的第四功函數調整層、由與第一阻擋層相同的材料製成的第二阻擋層以及第二金屬閘極電極層。第四功函數調整層由與第二功函數調整層相同的材料製成。在前述和以下實施例中的一個或多個中,第三閘極結構更包含由與第一功函數調整層不同的材料製成的第三功函數調整層,並且第四閘極結構更包含由與第三功函數調整層相同的材料製成的第五功函數調整層。在前述和以下實施例中的一個或多個中,第二阻擋層設置在第四功函數調整層和第五功函數調整層之間。在前述和以下實施例中的一個或多個中,第四閘極結構中第四功函數調整層的厚度等於第三閘極結構中第二功函數調整 層的厚度。在前述和以下實施例中的一個或多個中,第二阻擋層設置在第四功函數調整層下方。在前述和以下實施例中的一個或多個中,第二阻擋層設置在第四功函數調整層上方。在前述和以下實施例中的一個或多個中,半導體元件更包含:第四場效應電晶體(其包含設置在第四通道區域上方並且具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電性的第四閘極結構)以及第五場效應電晶體(其包含設置在第五通道區域上方並且具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電率的第五閘極結構)。第四場效應電晶體的臨界電壓的絕對值小於第五場效應電晶體的臨界電壓的絕對值。第四閘極結構和第五閘極結構中的每一個均包含第二閘極介電層、在閘極介電層上方的第四功函數調整層、由與第一阻擋層相同的材料製成的第二阻擋層以及第二金屬閘極電極層。第四功函數調整層由與第二功函數調整層相同的材料製成。在前述和以下實施例中的一個或多個中,第四閘極結構更包含由與第一功函數調整層不同的材料製成的第五功函數調整層,並且第五閘極結構不包含第四功函數調整層。在前述和以下實施例中的一個或多個中,第二阻擋層設置在第四功函數調整層和第五功函數調整層之間。在前述和以下實施例中的一個或多個中,第四閘極結構中的第四功函數調整層和第五功函數調整層的厚度大於第五閘極結構中的第五功函數調整層的厚度。在前述和以下實施例中的一個或多個中,半導體元件更包含 第四場效應電晶體(其包含設置在第四通道區域上方並且具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電性的第四閘極結構)、第五場效應電晶體(其包含設置在第五通道區域上方並具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電率的第五閘極結構)和第六場效應電晶體(其包含設置在第六通道區域上方並具有與第一場效應電晶體、第二場效應電晶體和第三場效應電晶體不同的導電率的第六閘極結構)。第四場效應電晶體的臨界電壓的絕對值小於第五場效應電晶體的臨界電壓的絕對值,並且第五場效應電晶體的臨界電壓的絕對值小於第六場效應電晶體的臨界電壓的絕對值。第四閘極結構、第五閘極結構和第六閘極結構中的每一個均包含第二閘極介電層、由與第一阻擋層相同的材料製成的第二阻擋層以及金屬閘極電極層。第四閘極結構和第五閘極結構中的每一個均包含由與第三功函數調整層相同的材料製成的第五功函數調整層,並且第六閘極結構不包含第四功函數調整層和第五功函數調整層。在前述和以下實施例中的一個或多個中,第四閘極結構更包含由與第一功函數調整層不同的材料製成的第四功函數調整層,並且第五閘極結構不包含第四功函數調整層。在前述和以下實施例中的一個或多個中,第二阻擋層設置在第四功函數調整層和第五功函數調整層之間。在前述和以下實施例中的一個或多個中,第四閘極結構中的第四功函數調整層和第五功函數調整層的厚度大於第五閘極結構中的 第五功函數調整層的厚度。在前述和以下實施例中的一個或多個中,第一功函數調整層是N型功函數調整層,其由具有在2.5eV至4.4eV範圍內的低功函數和/或具有低電負性的材料製成。在前述和以下實施例中的一個或多個中,第二功函數調整層、第三功函數調整層、第四功函數調整層和第五功函數調整層均為P型功函數調整層,每個均由具有在4.3eV至5.8eV範圍內的高功函數材料和/或具有高電負性的材料製成。在前述和以下實施例中的一個或多個中,P型功函數調整層由氮化鈦(TiN)、氮化鎢(WN)、氮化碳鎢(WCN)、氮化鉬(MoN)和氮化鈦(TaN)之一製成。在前述和以下實施例中的一個或多個中,第二功函數調整層、第三功函數調整層、第四功函數調整層和第五功函數調整層均由氮化鈦(TiN)製成。在前述和以下實施例中的一個或多個中,第一屏蔽層和第二屏蔽層的厚度在0.3nm至8nm的範圍內。在前述和以下實施例中的一個或多個中,第一功函數調整層中的氧濃度小於25原子%。
根據本公開的一個方面,在一種製造半導體元件的方法中,在由半導體材料製成的通道區域上方形成閘極介電層,在閘極介電層上方形成第一功函數調整層,在第一功函數調整層上方形成第一屏蔽層,並且在第一屏蔽層上方形成金屬閘極電極層。第一功函數調整層包含鋁,並且第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、 錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一個製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由透過沉積方法形成之選自氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由透過沉積方法形成之選自矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。實施例中,第一屏蔽層由選自於由鈦、鉭、鎳、鎢和鉬所組成的群組中的至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一屏蔽層是第一功函數調整層的表面區域並且包含氟(F),並且第一屏蔽層透過用含氟材料處理第一功函數調整層的表面而形成。在前述和以下實施例中的一個或多個中,第一屏蔽層是第一功函數調整層的表面區域,並且包含鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個,並且透 過將氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個的離子注入到第一功函數調整層的表面區域中形成第一屏蔽層。在前述和以下實施例中的一個或多個中,透過在形成第一功函數調整層的過程中減少鋁(Al)源材料來形成第一屏蔽層。在前述和以下實施例中的一個或多個中,第一功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層的鋁含量為30原子%至55原子%。在前述和以下實施例中的一個或多個中,在第一屏蔽層上形成由與第一屏蔽層不同的材料製成的第二屏蔽層。在前述和以下實施例中的一個或多個中,在形成第一功函數調整層之前形成第三屏蔽層,並且在第三屏蔽層上形成第一功函數調整層。在前述和以下實施例中的一個或多個中,金屬閘極電極層包含黏合層和主體金屬層。在前述和以下實施例中的一個或多個中,在形成第一功函數調整層之前在閘極介電層上方形成第一阻擋層。在前述和以下實施例中的一個或多個中,在第一屏蔽層上方形成第二阻擋層。在前述和以下實施方式中的一個或多個中,在形成第一功函數調整層之前形成第二阻擋層,並且在第二阻擋層上形成第一功函數調整層。在前述和以下實施方式中的一個或多個中,在第一屏蔽層上方形成包含鋁的第二功函數調整層,並且在第二功函數調整層上方形成第二屏蔽層。第二屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以 及與該第二功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。在前述和以下實施方式中的一個或多個中,形成由與第一功函數調整層不同的材料製成的一個或多個第二功函數調整層。在前述和以下實施例中的一個或多個中,在閘極介電層上方形成第一阻擋層,並且在形成第一阻擋層之後形成第二阻擋層。在第二阻擋層上方形成一個或多個第二功函數調整層。在前述和以下實施例中的一個或多個中,在閘極介電層上方形成第一阻擋層,並且在形成第一阻擋層之後形成第二阻擋層。在形成第一阻擋層之後且在形成第二阻擋層之前形成一個或多個第二功函數調整層。在前述和以下實施例中的一個或多個中,在閘極介電層上方形成第一阻擋層,並且在形成第一阻擋層之後形成第二阻擋層。形成兩個功函數調整層,其中在形成第一阻擋層之後並且在形成第一功函數調整層之前形成此兩個第二功函數調整層中的其中一個,並且在形成第二阻擋層之後形成另一個第二功函數調整層。
根據本公開的另一方面,在一種製造半導體元件的方法中,此半導體元件包含第一N型場效應電晶體、第二N型場效應電晶體、第三N型場效應電晶體、第一P型場效應電晶體、第二P型場效應電晶體和第三P型場效應電晶體,在第一N型場效應電晶體區域、第二型N型場效應電晶體區域、第三N型場效應電晶體區域、第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場 效應電晶體區域中的每個由半導體材料製成的通道區域上方形成閘極介電層。在閘極介電層上形成第一阻擋層,形成包含鋁的N型功函數調整層,在N型功函數調整層上方形成第一屏蔽層,並且透過第一圖案化操作將第一屏蔽層和N型功函數調整層從第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中去除。形成第二阻擋層,形成第一P型功函數調整層,並且透過第二圖案化操作從第一N型場效應電晶體區域和第二N型場效應電晶體區域以及第一P型場效應電晶體和第二P型場效應電晶體中去除第一P型功函數調整層。形成第二P型功函數調整層,透過第三圖案化操作從第一N型場效應電晶體區域和第一P型場效應電晶體區域去除第二P型功函數調整層,並形成黏合層和金屬閘極電極層於第一N型場效應電晶體區域、第二N型場效應電晶體區域和第三N型場效應電晶體區域以及第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中。在前述實施例和以下實施例中的一個或多個中,在第一阻擋層上形成N型功函數調整層,在第一N型場效應電晶體區域、第二N型場效應電晶體區域和第三N型場效應電晶體區域中的第一屏蔽層上以及在第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中的第一阻擋層上形成第二阻擋層,在第二阻擋層上形成第一P型功函數調整層,並且在第二圖案化操作之後,在第一N型場效應電晶體區域和第二N型場效 應電晶體區域以及第一P型場效應電晶體區域和第二P型場效應電晶體區域中的第二阻擋層上,以及在第三N型場效應電晶體區域和第三P型場效應電晶體區域中的第一P型功函數調整層上形成第二P型功函數調整層。在前述和以下實施方式中的一個或多個中,在第三圖案化操作之前執行第二圖案化操作,並且在第一圖案化操作之前執行第三圖案化操作,在第一阻擋層上形成第一P型功函數調整層,在第二圖案化操作之後,在第一N型場效應電晶體區域和第二N型場效應電晶體區域的第一阻擋層上,以及在第三N型場效應電晶體區域和第三P型場效應電晶體區域的第一P型功函數調整層上形成第二P型功函數調整層,在第三圖案化操作之後形成第二阻擋層,並且在第二阻擋層上形成N型功函數調整層。在前述實施例和以下實施例中的一個或多個中,在第一圖案操作之前執行第二圖案操作,並且在第三圖案操作之前執行第一圖案操作,在第一阻擋層上形成第一P型功函數調整層,在第二圖案化操作之後,在第一N型場效應電晶體區域和第二N型場效應電晶體區域以及第一P型場效應電晶體區域和第二P型場效應電晶體區域中的第一阻擋層上以及在第三N型場效應電晶體區域和第三P型場效應電晶體區域中的第一P型功函數調整層上形成N型功函數調整層,在第一圖案化操作之後形成第二阻擋層,並且在第二阻擋層上形成第二P型功函數調整層。在前述和以下實施例中的一個或多個中,N型功函數調整層由選自於由鋁化鈦(TiAl)、碳化鋁鈦 (TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由透過沉積方法形成之選自於由氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由透過沉積方法形成之選自於由矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。在前述和以下實施例中的一個或多個中,第一屏蔽層由選自於由鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中的至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一屏蔽層是N型功函數調整層的表面區域並且包含氟(F),並且第一屏蔽層透過用含氟材料處理N型功函數調整層的表面而形成。在前述和以下實施例中的一個或多個中,第一屏蔽層是N型功函數調整層的表面區域,並且包含鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或多個,並且第一屏蔽層是透過將氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的離子注入到N型功函數調整層的表面區域而形成。在前述和以下 實施例中的一個或多個中,透過在形成N型功函數調整層的過程中減少鋁(Al)源材料來形成第一屏蔽層。在前述和以下實施例中的一個或多個中,N型功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層的鋁含量為30原子%至55原子%。在前述和以下實施例中的一個或多個中,在第一圖案化操作之後,去除第一屏蔽層。在前述和以下實施例中的一個或多個中,第一阻擋層和第二阻擋層由氮化鉭(TaN)製成。在前述和以下實施例中的一個或多個中,第一P型功函數調整層和第二P型功函數調整層由氮化鈦(TiN)製成。在前述和以下實施例中的一個或多個中,第一N型場效應電晶體的臨界電壓的絕對值小於第二N型場效應電晶體的臨界電壓的絕對值,並且第二N型場效應電晶體的臨界電壓的絕對值小於第三N型場效應電晶體的臨界電壓的絕對值。在前述和以下實施例中的一個或多個中,第三P型場效應電晶體的臨界電壓的絕對值小於第二P型場效應電晶體的臨界電壓的絕對值,並且第二P型場效應電晶體的臨界電壓的絕對值小於第一P型場效應電晶體的臨界電壓的絕對值。
根據本公開的另一方面,一種半導體元件包含:第一場效應電晶體(其包含設置在第一通道區域上方的第一閘極結構)以及第二場效應電晶體(其包含設置在第二通道區域上方的第二閘極結構)。第一場效應電晶體是N型場效應電晶體,而第二場效應電晶體是P型場效應電晶體。第一閘極結構包含閘極介電層、位於閘極介電層上方 的第一功函數調整層、位於第一功函數調整層上方的第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第二閘極結構包含第二功函數調整層,並且在橫跨N型第一場效應電晶體和P型第二場效應電晶體裝置的金屬邊界處,在N型第一場效應電晶體中的第一功函數調整層與在P型第二場效應電晶體裝置中的第二功函數調整層不直接接觸。在前述和以下實施例中的一個或多個中,第一閘極結構更包含在第一屏蔽層上的第二屏蔽層,並且第二屏蔽層由與第一屏蔽層不同的材料製成,並且至少由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一閘極結構更包含在第一屏蔽層下方的第三屏蔽層,並且第三屏蔽層由與第一屏蔽層不同的材料製成,並且至少由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數 調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第二功函數調整層包含由氮化鉭(TaN)、氮化鈦(TiN)、氮化鎢(WN)、碳化鈦(TiC)、氮化碳鎢(WCN)、氮化鉬(MoN)和鈷(Co)所組成的群組中的一個。
根據本公開的另一方面,在一種製造半導體元件的方法中,此半導體元件包含第一N型場效應電晶體、第二N型場效應電晶體、第三N型場效應電晶體、第一P型場效應電晶體、第二P型場效應電晶體和第三P型場效應電晶體,在第一N型場效應電晶體區域、第二N型場效應電晶體區域、第三N型場效應電晶體區域、第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中的每一個之由半導體材料製成的通道區域上方形成閘極介電層。在閘極介電層上方形成第一阻擋層,在閘極介電層上方形成包含鋁的第一N型功函數調整層,在第一N型功函數調整層上方形成第一屏蔽層,並且透過第一圖案化操作,從第三N型場效應電晶體區域以及第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中去除第一屏蔽層和第一N型功函數調整層。在閘極介電層上形成包含鋁的第二N型功函數調整層,在第二N型功函數調整層之上形成第二屏蔽層,並且透過第二圖案化操作,從第二P型場效應電晶體區域和第三P型場效應電晶體區域中去除第二屏蔽層和第二N型功函數調整層。在第一N型場效應電晶體區域、 第二N型場效應電晶體區域和第三N型場效應電晶體區域和第一P型場效應電晶體區域中的第二屏蔽層上方和在第二P型場效應電晶體區域和第三P型場效應電晶體區域中的第一阻擋層上方形成第二阻擋層,並且在第二阻擋層上方形成P型功函數調整層,並且透過第三圖案化操作,從第一N型場效應電晶體區域和第三N型場效應電晶體區域和第二P型場效應電晶體區域中去除P型功函數調整層。在第一N型場效應電晶體區域、第二N型場效應電晶體區域和第三N型場效應電晶體區域以及第一P型場效應電晶體區域、第二P型場效應電晶體區域和第三P型場效應電晶體區域中形成金屬閘極電極層。在前述和以下實施例中的一個或多個中,第一N型功函數調整層和第二N型功函數調整層中的每一個均由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一個製成。在前述實施例和以下實施例中的一個或多個中,第一屏蔽層和第二屏蔽層均由透過沉積法形成之選自於由氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層和第二屏蔽層均由透過沉積方法形成之選自於由矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。在前述和以下實施例中的一個或多個中,第一屏蔽層和第 二屏蔽層均由選自於由矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。在前述和以下實施例中,第一屏蔽層和第二屏蔽層均由選自於由鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中的至少一種的矽化物製成。在前述和以下實施例中的一個或多個中,第一屏蔽層和第二屏蔽層中的每個分別是第一N型功函數調整層和第二N型功函數調整層的表面區域並且包含氟(F),並且第一屏蔽層和第二屏蔽層中的每個分別透過使用具有含氟的材料處理第一N型功函數調整層和第二N型功函數調整層的表面而形成。在前述和以下實施例中的一個或多個中,第一屏蔽層和第二屏蔽層中的每個分別是第一N型功函數調整層和第二N型功函數調整層的表面區域,並且包含鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種,並且第一屏蔽層和第二屏蔽層中的每個分別透過使用氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的離子注入到第一N型功函數調整層和第二N型功函數調整層的表面區域來形成。在前述和以下實施例中的一個或多個中,透過在形成N型功函數調整層的過程中減少鋁(Al)源材料來形成第一屏蔽層和第二屏蔽層中的每一個。在前述和以下實施方式中的一個或多個中,第一N型功函數調整層和第二N型功函數調整層的鋁含量為67原子%至86原子%,並且第一屏蔽層和第二屏蔽層的鋁含量為30原子%至55原子 %。在前述和以下實施例中的一個或多個中,N型功函數調整層由具有在2.5至4.4範圍內的低功函數和/或具有低電負性的材料組成。在前述實施例和以下實施例中的一個或多個中,P型功函數調整材料調整層由具有在4.3至5.8範圍內的高功函數和/或具有高電負性的材料組成。在前述和以下實施例中的一個或多個中,第一N型場效應電晶體的臨界電壓的絕對值小於第二N型場效應電晶體的臨界電壓的絕對值,並且第二N型場效應電晶體的臨界電壓的絕對值小於第三N型場效應電晶體的臨界電壓的絕對值。在前述和以下實施例中的一個或多個中,第三P型場效應電晶體的臨界電壓的絕對值小於第二P型場效應電晶體的臨界電壓的絕對值,並且第二P型場效應電晶體的臨界電壓的絕對值小於第一P型場效應電晶體的臨界電壓的絕對值。根據本公開的另一方面,在一種製造包含具有不同臨界電壓的複數個N型場效應電晶體和具有不同臨界電壓的複數個P型場效應電晶體的半導體元件的方法中,形成閘極介電層,形成用於N型場效應電晶體和P型場效應電晶體中的每個的一個或多個N型功函數調整層和一個或多個P型功函數調整層於閘極介電層上,形成金屬閘極電極層於一個或多個功函數調整層上。N型場效應電晶體之不同臨界電壓的總數為N,而P型場效應電晶體之不同臨界電壓的數量為M。同時執行N型功函數調整層中的至少一個的圖案化操作和P型功函數調整層中的至少一個的圖案化操作。圖案化操作(每個圖案化操作均包含一組光刻操作 和蝕刻操作)的數量等於(N+M)/2。N型功函數調整層的數量為Y,其中Y<N,而p個功函數調整層的數量為X,其中X<M-Y,N型功函數調整層和P型功函數調整層的總數等於(N+M)/2。在前述和以下實施例中的一個或多個中,N為3且M為3。在前述和以下實施例中的一個或多個中,N為3,M為3,且Y為1,X為2。在前述和以下實施例中的一個或多個中,N為3,M為3,且Y為2,X為1。
執行N型功函數調整層中的至少一個的圖案化操作,並且同時執行P型功函數調整層中的至少一個的圖案化操作(即,同時對N型功函數調整層以及P型功函數調整層執行圖案化)。N型功函數調整層的數量為Y,其中Y<N,P型功函數調整層的數量為X,其中X<M-Y,N型功函數調整層和P型功函數調整層的總數等於(N+M)/2。在圖案化製程之後,N型功函數調整層的功函數值非常低和/或不受影響。屏蔽層保護N型功函數調整層,使得即使在進行圖案化處理之後,最終裝置中的N型功函數調整層的功函數值仍非常低並在約2.2eV至約4.3eV的範圍內(其與在沈積N型功函數調整層時的功函數值相同和/或略低)。
於一些實施方式中,半導體元件包含設置在通道區域上方的閘極結構和源極/汲極區域。閘極結構包含位於通道區域上方的閘極介電層、位於閘極介電層上方的第一功函數調整層、位於第一功函數調整層上方的第一屏蔽層、 第一阻擋層和金屬閘極電極層。第一功函數調整層由N型功函數調整層製成並且包含鋁。第一屏蔽層由選自於由金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
於一些實施方式中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一種製成。
於一些實施方式中,第一屏蔽層由選自氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦鉭(TaTiN)、氮化鎢(WN)、氮化矽鈦(TiSiN)、氮化碳鎢(WCN)以及氮化鉬(MoN)所組成的群組中的至少一種製成。
於一些實施方式中,第一屏蔽層由選自矽(Si)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種製成。
於一些實施方式中,第一屏蔽層由選自矽(Si)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鉬(Mo)和鈷(Co)所組成的群組中的至少一種的碳化物製成。
於一些實施方式中,第一屏蔽層由選自鈦(Ti)、鉭(Ta)、鎳(Ni)、鎢(W)和鉬(Mo)所組成的群組中的至少一種的矽化物製成。
於一些實施方式中,第一功函數調整層的一鋁含量 為67原子%至86原子%,並且第一屏蔽層的一鋁含量為30原子%至55原子%。
於一些實施方式中,第一屏蔽層是第一功函數調整層的一表面層,並包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或複數個。
於一些實施方式中,金屬閘極電極層包含一黏合層和一主體金屬層。
於一些實施方式中,半導體元件,更包含一第二屏蔽層,第二屏蔽層設置在第一功函數調整層下或第一屏蔽層上。
於一些實施方式中,半導體元件,更包含一第二功函數調整層,第二功函數調整層由與第一功函數調整層不同的材料製成。
於一些實施方式中,半導體元件,更包含一第三功函數調整層,第三功函數調整層由與第一功函數調整層不同的材料製成,其中第一功函數調整層位於第二功函數調整層與第三功函數調整層之間。
於一些實施方式中,半導體元件,更包含:一第二功函數調整層,包含鋁,並設置在第一屏蔽層上;以及一第二屏蔽層,設置在第二功函數調整層上。
於一些實施方式中,一種半導體元件包含第一場效應電晶體和第二場效應電晶體。第一場效應電晶體包含設置在第一通道區域上的第一閘極結構。第二場效應電晶體包含設置在第二通道區域上的第二閘極結構。第一場效應 電晶體為N型場效應電晶體,且第二場效應電晶體為P型場效應電晶體,並且第一閘極結構包含:閘極介電層、第一功函數調整層、第一屏蔽層、第一阻擋層和金屬閘極電極層。第一功函數調整層位於閘極介電層上。第一屏蔽層位於第一功函數調整層上。第一功函數調整層包含鋁。第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
於一些實施方式中,第二閘極結構包含一第二功函數調整層,在橫跨N型第一場效應電晶體和P型第二場效應電晶體的一金屬邊界處,N型第一場效應電晶體中的第一功函數調整層與P型第二場效應電晶體裝置中的第二功函數調整層不直接接觸。
於一些實施方式中,第一閘極結構更包含在第一屏蔽層上的一第二屏蔽層,以及第二屏蔽層由與第一屏蔽層不同的材料製成,並且由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。
於一些實施方式中,第一閘極結構更包含在第一屏蔽層下的一第三屏蔽層,以及第三屏蔽層由與第一屏蔽層 不同的材料製成,並且由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。
於一些實施方式中,第二功函數調整層包含選自於由氮化鉭(TaN)、氮化鈦(TiN)、氮化鎢(WN)、碳化鈦(TiC)、氮化碳鎢(WCN)、氮化鉬(MoN)和鈷(Co)所組成的群組中的一種。
於一些實施方式中,一種製造半導體元件的方法,包含:形成閘極介電層於由半導體材料製成的通道區域上;形成第一功函數調整層於閘極介電層上;形成第一屏蔽層於第一功函數調整層上;形成金屬閘極電極層於第一屏蔽層上。第一功函數調整層包含鋁。第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與第一功函數調整層相比具有較低的鋁濃度的含鋁層所組成的群組中的至少一種製成。
於一些實施方式中,第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一種製成。
前面概述了幾個實施例或示例的特徵,使得本領域技術人員可以更好地理解本公開的各方面。本領域技術人 員應當理解,他們可以容易地將本公開用作設計或修改其他過程和結構的基礎,以實現與本文介紹的實施例或示例相同的目的和/或實現相同的益處。本領域技術人員還應該理解,這樣的等效構造並不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,它們可以在這裡進行各種改變、替換和變更。
10:基板
20:通道區域、鰭片結構
30:隔離絕緣層
42:虛設閘極介電層
46:側壁間隔物
50:層間介電層
80:閘極堆疊
81:界面層
82:閘極介電層
83:第一導電層、覆蓋層
84:第二導電層、第一阻擋層
86:功函數調整材料層
87:黏合層
88:主體金屬層、主體閘極電極層
X:方向
Z:方向

Claims (9)

  1. 一種半導體元件,包含:一閘極結構,設置在一通道區域上;以及一源極/汲極區域,其中:該閘極結構包含:一閘極介電層,位於該通道區域上;一第一功函數調整層,位於該閘極介電層上;一第一屏蔽層,位於該第一功函數調整層上;一第一阻擋層;以及一金屬閘極電極層,該第一功函數調整層包含鋁,以及該第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與該第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成,其中該第一功函數調整層的一鋁含量為67原子%至86原子%,並且該第一屏蔽層的一鋁含量為30原子%至55原子%。
  2. 根據請求項1所述的半導體元件,其中該第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一種製成。
  3. 根據請求項1所述的半導體元件,其中該第一屏蔽層是第一功函數調整層的一表面層,並包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一個或複數個。
  4. 根據請求項1所述的半導體元件,更包含一第二功函數調整層,該第二功函數調整層由與該第一功函數調整層不同的材料製成。
  5. 一種半導體元件,包含:一第一場效應電晶體,包含設置在一第一通道區域上的一第一閘極結構;以及一第二場效應電晶體,包含設置在一第二通道區域上的一第二閘極結構,其中:該第一場效應電晶體為一N型場效應電晶體,且第二場效應電晶體為一P型場效應電晶體,並且該第一閘極結構包含:一閘極介電層;一第一功函數調整層,位於該閘極介電層上;一第一屏蔽層,位於該第一功函數調整層上;一第一阻擋層;以及一金屬閘極電極層,該第一功函數調整層包含鋁,該第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、 矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與該第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。
  6. 根據請求項5所述的半導體元件,其中:該第二閘極結構包含一第二功函數調整層,在橫跨該N型場效應電晶體和該P型場效應電晶體的一金屬邊界處,該N型場效應電晶體中的該第一功函數調整層與該P型場效應電晶體中的該第二功函數調整層不直接接觸。
  7. 根據請求項5所述的半導體元件,其中:該第一閘極結構更包含在該第一屏蔽層上的一第二屏蔽層,以及該第二屏蔽層由與該第一屏蔽層不同的材料製成,並且由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與該第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。
  8. 一種製造半導體元件的方法,包含:形成一閘極介電層於由一半導體材料製成的一通道區域 上;形成一第一功函數調整層於該閘極介電層上;形成一第一屏蔽層於該第一功函數調整層上;形成一金屬閘極電極層於該第一屏蔽層上,該第一功函數調整層包含鋁,以及該第一屏蔽層由選自金屬、金屬氮化物、金屬碳化物、矽化物、包含氟(F)、鎵(Ga)、銦(In)、鋯(Zr)、錳(Mn)和錫(Sn)中的一種或多種的一層以及與該第一功函數調整層相比具有一較低的鋁濃度的一含鋁層所組成的群組中的至少一種製成。
  9. 根據請求項8所述的方法,其中該第一功函數調整層由選自鋁化鈦(TiAl)、碳化鋁鈦(TiAlC)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)以及氮化鋁鈦(TiAlN)所組成的群組中的一種製成。
TW109129653A 2019-09-05 2020-08-28 半導體元件及其製造方法 TWI741768B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/562,395 2019-09-05
US16/562,395 US11183431B2 (en) 2019-09-05 2019-09-05 Method of manufacturing semiconductor devices and semiconductor devices

Publications (2)

Publication Number Publication Date
TW202113989A TW202113989A (zh) 2021-04-01
TWI741768B true TWI741768B (zh) 2021-10-01

Family

ID=74644602

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129653A TWI741768B (zh) 2019-09-05 2020-08-28 半導體元件及其製造方法

Country Status (5)

Country Link
US (3) US11183431B2 (zh)
KR (1) KR102277765B1 (zh)
CN (1) CN112447830A (zh)
DE (1) DE102019125773B4 (zh)
TW (1) TWI741768B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11784187B2 (en) * 2020-02-27 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11588033B2 (en) * 2021-05-20 2023-02-21 Omnivision Technologies, Inc. Uniform threshold voltage non-planar transistors
US20230069187A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. High-k gate dielectric and method forming same
US20230122175A1 (en) * 2021-10-19 2023-04-20 International Business Machines Corporation Multiple threshold voltage scheme in complementary metal oxide semiconductor transistors
CN117954480A (zh) * 2022-10-27 2024-04-30 华为技术有限公司 晶体管、集成电路及制备方法、电子设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140070307A1 (en) * 2012-09-13 2014-03-13 International Business Machines Corporation Multi-layer work function metal replacement gate
US20170110553A1 (en) * 2015-10-19 2017-04-20 Semiconductor Manufacturing International (Beijing) Corporation Method and device for metal gate stacks
CN106847874A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 具有不同阈值电压的半导体器件的形成方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7871915B2 (en) 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
US9202698B2 (en) 2012-02-28 2015-12-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
KR101923946B1 (ko) 2012-08-31 2018-11-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150021699A1 (en) 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US10276562B2 (en) 2014-01-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple threshold voltage and method of fabricating the same
CN106158932B (zh) * 2014-09-26 2019-06-14 台湾积体电路制造股份有限公司 具有TaAlCN层的金属栅极堆叠件
KR102290685B1 (ko) 2015-06-04 2021-08-17 삼성전자주식회사 반도체 장치
US10861701B2 (en) 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9837507B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10937783B2 (en) 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108257918B (zh) 2016-12-29 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
CN108807535B (zh) 2017-05-05 2021-07-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10727217B2 (en) 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140070307A1 (en) * 2012-09-13 2014-03-13 International Business Machines Corporation Multi-layer work function metal replacement gate
US20170110553A1 (en) * 2015-10-19 2017-04-20 Semiconductor Manufacturing International (Beijing) Corporation Method and device for metal gate stacks
CN106847874A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 具有不同阈值电压的半导体器件的形成方法

Also Published As

Publication number Publication date
US20220084890A1 (en) 2022-03-17
DE102019125773B4 (de) 2021-11-04
US20230377994A1 (en) 2023-11-23
CN112447830A (zh) 2021-03-05
DE102019125773A1 (de) 2021-03-11
US11978675B2 (en) 2024-05-07
KR20210029641A (ko) 2021-03-16
TW202113989A (zh) 2021-04-01
US20210074593A1 (en) 2021-03-11
KR102277765B1 (ko) 2021-07-19
US11183431B2 (en) 2021-11-23

Similar Documents

Publication Publication Date Title
US9859427B2 (en) Semiconductor Fin FET device with epitaxial source/drain
KR102023249B1 (ko) 비대칭 컨택을 구비한 finfet 디바이스를 위한 구조 및 방법
TWI741768B (zh) 半導體元件及其製造方法
US20210320188A1 (en) Finfet with dummy fins and methods of making the same
US10872893B2 (en) Dual nitride stressor for semiconductor device and method of manufacturing
KR102442810B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US11011641B2 (en) Flat STI surface for gate oxide uniformity in Fin FET devices
US11018224B2 (en) Semiconductor device with epitaxial source/drain
TWI688099B (zh) 半導體裝置及其形成方法
US10062688B2 (en) Semiconductor device with epitaxial source/drain
KR102247654B1 (ko) 반도체 디바이스 및 방법
US10522540B2 (en) FinFET transistor with fin back biasing
TWI685920B (zh) 半導體結構及形成積體電路結構的方法
KR102568605B1 (ko) 트랜지스터 게이트 구조물 및 이의 형성 방법
TWI771022B (zh) 半導體元件及其製造方法
TWI789761B (zh) 半導體元件與其製造方法
TWI837812B (zh) 半導體裝置、電晶體以及半導體裝置的形成方法
US20240014279A1 (en) Semiconductor device and formation method thereof