TWI789761B - 半導體元件與其製造方法 - Google Patents

半導體元件與其製造方法 Download PDF

Info

Publication number
TWI789761B
TWI789761B TW110117955A TW110117955A TWI789761B TW I789761 B TWI789761 B TW I789761B TW 110117955 A TW110117955 A TW 110117955A TW 110117955 A TW110117955 A TW 110117955A TW I789761 B TWI789761 B TW I789761B
Authority
TW
Taiwan
Prior art keywords
layer
work function
fluorine
aluminum
function metal
Prior art date
Application number
TW110117955A
Other languages
English (en)
Other versions
TW202230790A (zh
Inventor
李欣怡
洪正隆
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202230790A publication Critical patent/TW202230790A/zh
Application granted granted Critical
Publication of TWI789761B publication Critical patent/TWI789761B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

在一實施例中,半導體元件包含:第一通道區、第二通道區、以及圍繞第一通道區與第二通道區的閘極結構。閘極結構包含:閘極介電層;在閘極介電層上的第一P型功函數金屬,此第一P型功函數金屬包含氟與鋁;在第一P型功函數金屬上的第二P型功函數金屬,此第二P型功函數金屬具有相較於第一P型功函數金屬之較低的氟濃度與較低的鋁濃度;以及在第二P型功函數金屬上的填充層。

Description

半導體元件與其製造方法
本揭露係關於一種半導體元件與此半導體元件的製造方法。
半導體元件被使用在各種電子應用裝置中,例如:個人電腦、手機、數位相機、以及其他電子設備。製造半導體元件係典型地由在半導體基材上方依序地沉積絕緣或介電層、導電層、以及半導體層的材料,且使用光學微影來圖案化各種材料,以形成電路組件或零件於其上。
半導體工業持續地藉由重複地縮減最小特徵尺寸以提高各種電子組件(例如:電晶體、二極體、電阻、電容等)的整合密度,其允許將更多的組件整合至一給定的面積中。然而,隨著最小特徵尺寸的縮減,出現了應該被解決的額外問題。
本揭露之一態樣係提供一種半導體元件,包含:第 一通道區、第二通道區、以及圍繞第一通道區與第二通道區的閘極結構;其中閘極結構包含:閘極介電層、在閘極介電層上且包含氟與鋁的第一P型功函數金屬、在第一P型功函數金屬上且相較於第一P型功函數金屬具有較低之氟濃度與較低之鋁濃度的第二P型功函數金屬、以及在第二P型功函數金屬上的填充層。
本揭露之另一態樣係提供一種半導體元件,包含:通道區、在通道區上的介面層、在介面層上的高介電常數閘極介電層、在高介電常數閘極介電層上的第一功函數調整層、在第一功函數調整層上的第二功函數調整層、在第二功函數調整層上的黏著層、以及在黏著層上的填充層。其中第一功函數調整層包含第一P型功函數金屬、在第一P型功函數金屬中的鋁、以及在第一P型功函數金屬中的氟;第二功函數調整層包含第二P型功函數金屬且無鋁。
本揭露之又一態樣係提供一種半導體元件的製造方法,包含:在通道區上沈積閘極介電層;在閘極介電層上沈積第一P型功函數金屬;在第一P型功函數金屬上進行鋁處理;進行鋁處理之後,在第一P型功函數金屬上進行氟處理;以及進行氟處理之後,在第一P型功函數金屬上沈積第二P型功函數金屬。
102:接觸蝕刻停止層(CESL)
104:第一ILD
110:凹陷
112:閘極介電層
112A:第一閘極介電層
112B:第二閘極介電層
114:閘極電極層
114A:第一導電材料
114B:殘留物
114C:第二導電材料
114D:黏著層
114E:填充層
114F:第三導電材料
114I:介面層
116:開口
118:鋁處理
120:氟處理
122:閘極介電質
124:閘極電極
132:蝕刻停止層(ESL)
134:第一ILD
142:閘極接觸
144:源極/汲極接觸
146:金屬-半導體合金區
200:方法
202、204、206、208、210、212、214:步驟
50:基材
50I:區域
50N:N型區
50P:P型區
50R:區域
52:多層堆疊
54:第一半導體層
56:第二半導體層
62:鰭片
64:第一奈米結構
66:第二奈米結構
68:通道區
70:隔離區、STI區
74:假閘極層
76:遮罩層
82:假介電質
84:假閘極
86:遮罩
90:閘極間隔物
90A:第一間隔物層
90B:第二間隔物層
94:源極/汲極凹陷
96:內部間隔物
98:磊晶源極/汲極區
98A:墊層
98B:主要層
98C:終止層
A-A’:剖面
B-B’:剖面
C-C’:剖面
T1:第一厚度
T2:第二厚度
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法, 許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
圖1係根據一些實施例所繪示的三維視角的奈米結構場效電晶體(nanostructure field-effect transistor;nano-FET)的範例。
圖2至圖22B係根據一些實施例所示的在奈米結構場效電晶體製造中之中間階段的視圖。
圖23係根據一些實施例所示的用於形成奈米結構場效電晶體之置換閘極的例示方法的流程圖。
圖24係根據一些實施例所繪示的奈米結構場效電晶體的視圖。
圖25A至圖26係根據一些實施例所示的鰭式場效電晶體的視圖。
圖27與圖28係根據一些實施例所示的元件的視圖。
圖29與圖30係根據一些實施例所示的元件的視圖。
以下揭露提供許多不同實施例或例示,以實施申請標的之不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的製程描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。而本文 使用的是,第一特徵形成在第二特徵之上或上方代表第一特徵和第二特徵為直接接觸。除此之外,本揭露在各種例示中重覆參考數值及/或字母。此重覆的目的是為了使說明簡化且清晰易懂,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含裝置在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以據此解讀。
根據各種實施例,所形成之用於電晶體的閘極結構具有氟處理功函數金屬(work function metal;WFM)層。例如:氟處理可包含在WFM層上進行氟浸透(soak),其亦可將氟擴散至底下的閘極介電質(例如:高介電常數的介電質)。在氟處理前,在WFM層上進行鋁處理以增加氟處理的效率。因此,所產生之電晶體的平帶電壓(flatband voltage;VFB)可朝向WFM層之金屬的能帶邊緣增加,所產生之電晶體的臨界電壓(threshold voltage)可減少,以及可增進元件效能。
在特定之上下文中所描述的實施例,晶粒包含奈米場效電晶體。然而,應用至晶粒的各種實施例可包含其他 電晶體類型(例如:鰭式場效電晶體(FinFET)、平坦式電晶體、或類似電晶體)以取代奈米場效電晶體或與奈米場效電晶體結合。
圖1係根據一些實施例所繪示的奈米場效電晶體(例如:奈米線場效電晶體、奈米片場效電晶體、或類似電晶體)的範例。圖1為三維視角,而為了圖示上的清晰,其中一些奈米場效電晶體的特徵可被省略。奈米場效電晶體可為奈米線場效電晶體(nanowire field-effect transistors;NWFETs)、奈米片場效電晶體(nanosheet field-effect transistors;NSFETs)、閘極全環繞場效電晶體(gate-all-around field-effect transistors;GAAFETs)、或類似電晶體。
奈米場效電晶體包含在基材50(例如:半導體基材)上的鰭片62上方的奈米結構66(例如:奈米片、奈米線、或類似結構等),奈米結構66作為奈米場效電晶體的通道區。奈米結構66可包含P型奈米結構、N型奈米結構、或其組合。諸如淺渠隔離(shallow trench isolation;STI)區的隔離區70係設置在相鄰的鰭片62之間,而鰭片62可由相鄰的隔離區70之間突出至其上方。儘管所敘述/說明的隔離區70係與基材50分離,而如本文中所使用的術語「基材」可指的是單一半導體基材或半導體基材與隔離區的組合。此外,儘管鰭片62的底部部分被繪示為與基材50連續的單一材料,鰭片62的底部部分及/或基材50 可包含單一材料或複數個材料。在本文中,鰭片62指的是由相鄰的隔離區70之間延伸至其上方的部分。
閘極介電質122係在鰭片62頂表面的上方且沿著奈米結構66的頂表面、側壁、以及底表面。閘極電極124係在閘極介電質122的上方。磊晶源極/汲極區98係設置在鰭片62上且位在閘極介電質122與閘極電極124的相對側面。可在各個鰭片62之間共用磊晶源極/汲極區98。例如:相鄰的磊晶源極/汲極區98可電性地連接,如藉由磊晶成長來接合磊晶源極/汲極區98,或藉由以相同的源極/汲極接觸來耦接磊晶源極/汲極區98。
圖1更進一步說明了在稍後圖中所使用的參考剖面。剖面A-A'係沿著閘極電極124的縱軸,且在例如:垂直於奈米場效電晶體的磊晶源極/汲極區98之間的電流方向的方向上。剖面B-B'係沿著奈米結構66的縱軸,且在例如:奈米場效電晶體的磊晶源極/汲極區98之間的電流方向的方向上。剖面C-C'係平行於剖面A-A',且延伸穿過奈米場效電晶體的磊晶源極/汲極區98。為了清楚起見,後續圖示請參考這些參考剖面。
此處所討論的一些實施例,是在使用後閘極(gate-last)製程來形成奈米場效電晶體的背景下討論的。在其他實施例中,可使用前閘極(gate-first)製程。此外,一些實施例仔細考慮了平面元件中所使用的觀點,如平面FET或鰭式場效電晶體(FinFET)。
圖2至圖22B係根據一些實施例所示的在奈米結 構場效電晶體製造中之中間階段的視圖。圖2、圖3、圖4、圖5、以及圖6係三維視圖且表示了如圖1之相似的三維視圖。圖7A、圖8A、圖9A、圖10A、圖11A、圖12A、圖13A、圖14、圖15、圖16、圖17、圖18、圖19、圖20A、圖21A、以及圖22A繪示了圖1中所繪示的參考剖面A-A',除了兩個鰭片未表示。圖7B、圖8B、圖9B、圖10B、圖11B、圖12B、圖13B、圖20B、圖21B以及圖22B繪示了圖1中所繪示的參考剖面B-B'。圖9C與圖9D繪示了圖1中所繪示的參考剖面C-C',除了兩個鰭片未表示。
在圖2中,提供基材50用以形成奈米場效電晶體。基材50可為半導體基材,如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator;SOI)基材、或類似基材等,其可被摻雜(例如:以P型或N型摻質)或未摻雜。基材50可為晶圓,如矽晶圓。通常,SOI基材為在絕緣層上形成半導體材料層。絕緣層可為例如:埋藏氧化物(buried oxide;BOX)層、氧化矽層、或類似等。絕緣層係被提供在基材上,典型為矽基材或玻璃基材。亦可使用其他基材如多層基材或梯度基材。在一些實施例中,基材50的半導體材料可包含矽,鍺,化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦,合金半導體包含矽鍺、磷化砷化鎵、砷化銦鋁、砷化鎵鋁、砷化銦鎵、磷化銦鎵、及/或磷砷化銦鎵,其組合或類似材料。
基材50具有N型區50N與P型域50P。N型區50N可用於形成如NMOS電晶體(例如:N型奈米場效電晶體)的N型元件,以及P型區50P可用於形成如PMOS電晶體的P型元件(例如:P型奈米場效電晶體)。N型區50N可與P型區50P物理性地分離(未單獨繪示),且可在N型區50N與P型區50P之間設置任何數量的元件特徵(例如:其他主動元件、摻雜區,隔離結構等)。儘管只繪示了一個N型區50N與一個P型區50P,但仍可提供任何數量的N型區50N與P型區50P。
基材50可由P型或N型雜質來輕微摻雜。可在基材50的上部上進行抗擊穿(anti-punch-through;APT)佈植,以形成APT區。在APT佈植期間,摻質可被植入至基材50中。摻質可具有與源極/汲極區之導電類型相反的導電類型,此源極/汲極區將後續於每一N型區50N與P型區50P中形成。APT區可在奈米場效電晶體中的源極/汲極區下方延伸。APT區可用來減少從源極/汲極區至基材50的洩汲(電流)。在一些實施例中,APT區中的摻雜濃度可在大約1018cm-3至大約1019cm-3的範圍內。
在基材50上方形成多層堆疊52。多層堆疊52包含交替的第一半導體層54與第二半導體層56。第一半導體層54由第一半導體材料形成,且第二半導體層56由第二半導體材料形成。半導體材料可各自從基材50的候選半導體材料中選擇。在所示的實施例中,多層堆疊52包含第 一半導體層54與第二半導體層56中之每一者的各三個層。應理解的是,多層堆疊52可包含任意數量的第一半導體層54與第二半導體層56。
在所示的實施例中,且如將於後續所更詳細描述的,第一半導體層54將被移除且第二半導體層56將被圖案化以在N型區50N與P型區50P中形成用於奈米場效電晶體的通道區。第一半導體層54為犧牲層(或假(dummy)層),其將在後續的處理中被移除以暴露出第二半導體層56的頂表面與底表面。相對於第二半導體層56的蝕刻而言,第一半導體層54的第一半導體材料係具有高蝕刻選擇性的材料,如矽鍺。第二半導體層56的第二半導體材料為適合於N型奈米場效電晶體與P型奈米場效電晶體二者之通道區的材料,如矽。
在另一實施例中(未單獨繪示),第一半導體層54將被圖案化以在一區域(例如:P型區50P)中形成用於奈米場效電晶體的通道區,且第二半導體層56將被圖案化以在另一區域(例如:N型區50N)中形成用於奈米場效電晶體的通道區。第一半導體層54的第一半導體材料可適合於P型奈米場效電晶體,如矽鍺(例如:SixGe1-x,其中x可在0至1的範圍內)、純鍺、或大致上純的鍺、III-V族化合物半導體、II-VI族化合物半導體、或類似材料等。第二半導體層56的第二半導體材料可適合於N型奈米場效電晶體,如矽、碳化矽、III-V族化合物半導體、II-VI族化合物半導體、或類似材料等。第一半導體材料與第二 半導體材料可具有相對於另一者之蝕刻高的蝕刻選擇性,使得可在不移除N型區50N中的第二半導體層56的狀況下移除第一半導體層54,以及可在不移除P型區50P中的第一半導體層54的狀況下移除第二半導體層56。
多層堆疊52的每一層可藉由如氣相磊晶(vapor phase epitaxy;VPE)或分子束磊晶(molecular beam epitaxy;MBE)的製程來成長,或可藉由如化學氣相沉積(chemical vapor deposition;CVD)或原子層沉積(atomic layer deposition;ALD)的製程或類似製程來沉積。每一層可具有較小的厚度,如在約5nm至約30nm範圍內的厚度。在一些實施例中,一些層(例如:第二半導體層56)形成為比其他層(例如:第一半導體層54)更薄。例如:在第一半導體層54為犧牲層(或假層)且第二半導體層56被圖案化以形成用於奈米場效電晶體之通道區的實施例中,第一半導體層54可具有第一厚度T1且第二半導體層56可具有第二厚度T2,第二厚度T2比第一厚度T1小大約30%至大約60%。將第二半導體層56形成為較小的厚度允許以較大的密度形成通道區。
在圖3中,在基材50與多層堆疊52中圖案化溝渠以形成鰭片62、第一奈米結構64、以及第二奈米結構66。鰭片62是在基材50中之圖案化的半導體條。第一奈米結構64與第二奈米結構66分別包含第一半導體層54的剩餘部分與第二半導體層56的剩餘部分。可藉由任何可接受的蝕刻製程來圖案化溝渠,如反應式離子蝕刻 (reactive ion etch;RIE)、中性離子束蝕刻(neutral beam etch;NBE)、或其組合。此蝕刻可為非等向性的蝕刻。
鰭片62、奈米結構64與奈米結構66可藉由任何適合的方法來圖案化。例如:可使用一或多個光學微影製程包含雙重圖案化或多重圖案化製程來圖案化鰭片62、奈米結構64與奈米結構66,通常,雙重圖案化或多重圖案化製程係結合了光學微影製程與自我準直製程,因而允許建立具有例如:間距(pitch)小於使用可獲得之單一直接光學微影製程之間距的圖案。例如:在一個實施例中,在基材上方形成犧牲層並使用光學微影製程將其圖案化。使用自我準直製程沿著圖案化的犧牲層邊緣形成間隔物。接著移除犧牲層,且接著可使用殘留的間隔物作為遮罩來圖案化鰭片62、奈米結構64與奈米結構66。在一些實施例中,遮罩(或其他層)可殘留在奈米結構64與奈米結構66上。
鰭片62、奈米結構64與奈米結構66可各自具有在約8nm至約40nm範圍內的寬度。在所示的實施例中,鰭片62、奈米結構64與奈米結構66在N型區50N與P型區50P中可具有大致上相等的寬度。在另一實施例中,在一區域(例如:N型區50N)中的鰭片62、奈米結構64與奈米結構66比另一區域(例如:P型區50P)中的鰭片62、奈米結構64與奈米結構66較寬或較窄。
在圖4中,在基材50上方且在相鄰鰭片62之間形成STI區70。STI區70係圍繞鰭片62的至少一部分 來設置,使得奈米結構64與奈米結構66從相鄰STI區70之間突出。在所示的實施例中,STI區70的頂表面與鰭片62的頂表面共平面(在製程變化內)。在一些實施例中,STI區70的頂表面係在鰭片62的頂表面之上或之下。STI區70分離了相鄰元件的特徵。
STI區70可藉由任何適合的方法來形成。例如:可在基材50、奈米結構64與奈米結構66上以及相鄰的鰭片62之間形成絕緣材料。絕緣材料可為氧化物,(例如:氧化矽)、氮化物(例如:氮化矽)等、或其組合,並可藉由化學氣相沉積(CVD)製程來形成,例如:高密度電漿CVD(high density plasma CVD;HDPCVD)、可流動性CVD(flowable CVD;FCVD)等、或其組合。可使用任何可接受的製程來形成其他絕緣材料。在一些實施例中,絕緣材料為藉由可流動性CVD來形成的氧化矽。一旦絕緣材料形成即可進行退火製程。在一個實施例中,形成絕緣材料使得過量的絕緣材料覆蓋奈米結構64與奈米結構66。儘管每一STI區70都被繪示為單一層,但在一些實施例可使用多個層。例如:在一些實施例中,首先可沿著基材50、鰭片62、奈米結構64與奈米結構66的表面形成襯墊層(未單獨繪示)。此後,可在襯墊層上方形成如前所述的填充材料。
接著對絕緣材料進行移除製程以移除奈米結構64與奈米結構66上之多餘的絕緣材料。在一些實施例中,可使用平坦化製程如化學機械研磨(chemical mechanical polish;CMP)、回蝕(etch-back)製程、其組合、或類似製程。在遮罩保留在奈米結構64與奈米結構66上的實施例中,平坦化製程可暴露遮罩或移除遮罩。在平坦化遮罩後,絕緣材料與遮罩(若是存在)或奈米結構64與奈米結構66的頂表面為共平面(在製程變動之內)。據此,遮罩(若是存在)或奈米結構64與奈米結構66的頂表面穿透絕緣材料而暴露出來。在所示的實施例中,沒有遮罩保留在奈米結構64與奈米結構66上。接著使絕緣材料凹陷(recess)以形成STI區70。被凹陷的絕緣材料使得奈米結構64與奈米結構66的至少一部分從絕緣材料的相鄰部分之間突出。此外,STI區70的頂表面可具有如圖所示的平坦表面、凸表面、凹表面(如盤狀)或其組合。STI區70的頂表面可藉由適當的蝕刻形成為平坦、凸面、及/或凹面。可使用可接受的蝕刻製程來凹陷絕緣材料,如一種對絕緣材料具有選擇性的蝕刻製程(例如:以比蝕刻鰭片62、奈米結構64與奈米結構66的材料更快的速率來選擇性地蝕刻STI區70的絕緣材料)。例如:可使用稀釋氫氟酸(dilute hydrofluoric;dHF)來進行氧化物的移除。
先前所描述的製程僅為如何形成鰭片62、奈米結構64與奈米結構66的一個示例。在一些實施例中,可使用遮罩和磊晶成長製程來形成鰭片62及/或奈米結構64與奈米結構66。例如:可在基材50的頂表面上方形成介電層,並且可蝕刻穿透介電層的溝渠以暴露出下方的基材50。可在溝渠中磊晶成長磊晶結構,且介電層可被凹陷使 得磊晶結構從介電層突出以形成鰭片62及/或奈米結構64與奈米結構66。磊晶結構可包含前述之交替的半導體材料,如第一半導體材料與第二半導體材料。在磊晶成長磊晶結構的一些實施例中,磊晶成長的材料可在成長期間被臨場(in situ)摻雜,其可排除先前或後續的佈植,儘管可一起使用臨場摻雜與佈植摻雜。
此外,可在基材50、鰭片62、及/或奈米結構64與奈米結構66中形成適當的井(well)(未單獨繪示)。在一些實施例中,可在N型區50N中形成P型井,以及在P型區50P中形成N型井。在一些實施例中,在N型區50N與P型區50P二者中形成P型井或N型井。
在具有不同井類型的實施例中,可使用遮罩(例如:光阻,未單獨繪示)來達成用於N型區50N與P型區50P的不同佈植步驟。例如:可在N型區50N中的鰭片62、奈米結構64與奈米結構66、以及STI區70的上方形成光阻。光阻被圖案化以暴露出P型區50P。可藉由使用旋塗(spin-on)技術來形成光阻,且可使用可接受的光學微影技術來圖案化。一旦光阻被圖案化,在P型區50P中進行N型雜質佈植,且光阻可作為遮罩以大致上地防止N型雜質被佈植到N型區50N之中。N型雜質可為磷、砷、或銻等,且被佈植至區域中的濃度在大約1013cm-3至大約1014cm-3的範圍內。在佈植之後,光阻可被移除,如藉由可接受的灰化製程。
在P型區50P的佈植之前或之後,可在P型區50P 中的鰭片62、奈米結構64與奈米結構66、以及STI區70的上方形成光阻。光阻被圖案化以暴露出N型區50N。可藉由使用旋塗技術來形成光阻,且可使用可接受的光學微影技術來圖案化。一旦光阻被圖案化,在N型區50N中進行P型雜質佈植,且光阻可作為遮罩以大致上地防止P型雜質被佈植到P型區50P之中。P型雜質可為硼、氟化硼、或銦等,且被佈植至區域中的濃度在大約1013cm-3至大約1014cm-3的範圍內。在佈植之後,光阻可被移除,如藉由可接受的灰化製程。
在N型區50N和P型區50P的佈植之後,可進行退火以修復佈植傷害並且活化所佈植的P型及/或N型雜質。在針對鰭片62及/或奈米結構64與奈米結構66所磊晶生長之磊晶結構的一些實施例中,所成長的材料可在成長期間被臨場摻雜,其可排除先前或後續的佈植,儘管可一起使用臨場摻雜與佈植摻雜。
在圖5中,在鰭片62及奈米結構64與奈米結構66上形成假(dummy)介電層72。假介電層72可由如氧化矽、氮化矽、或其組合等介電材料來形成,且其可根據可接受的技術來沉積或熱成長。在假介電層72上方形成假閘極層74,並且在假閘極層74上方形成遮罩層76。假閘極層74可被沈積在假介電層72上方且接著被平坦化,如藉由CMP。可在假閘極層74上方沉積遮罩層76。假閘極層74可由導電或非導電材料來形成,例如:非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬、金屬 氮化物、金屬矽化物、或金屬氧化物等,其可藉由物理氣相沉積(physical vapor deposition;PVD)或化學氣相沉積等來沉積。假閘極層74可由相對於絕緣材料的蝕刻具有高蝕刻選擇性的一或多種材料來形成,例如:STI區70及/或假介電層72。遮罩層76可由介電材料來形成,例如:氮化矽、或氮氧化矽等。在本示例中,橫跨N型區50N與P型區50P來形成單一假閘極層74與單一遮罩層76。在所示的實施例中,假介電層72覆蓋鰭片62、奈米結構64與奈米結構66、以及STI區70,使得假介電層72在STI區70上方並且在假閘極層與STI區70之間延伸。在另一個實施例中,假介電層72僅覆蓋鰭片62及奈米結構64與奈米結構66。
在圖6中,使用可接受的光學微影與蝕刻技術來圖案化遮罩層76以形成遮罩86。接著藉由可接受的蝕刻技術將遮罩86的圖案轉移至假閘極層74,以形成假閘極84。藉由可接受的蝕刻技術遮罩86的圖案可可選擇性地被進一步轉移至假介電層72以形成假介電質82。假閘極84覆蓋將在後續製程中被暴露出來以形成通道區之奈米結構64與奈米結構66的部分。具體而言,假閘極84沿著奈米結構66延伸的部分其將被圖案化以形成通道區68。遮罩86的圖案可用於物理性地分離相鄰的假閘極84。假閘極84亦可具有縱向方向,其大致上(在製程變動之內)垂直於鰭片62的長度方向。在圖案化之後,遮罩86可選擇性地被移除,如藉由可接受的蝕刻技術。
圖7A至圖22B繪示了實施例元件之製造中的各種附加步驟。圖7A至圖13B以及圖20A至圖22B繪示了N型區50N與P型區50P中之任一者的特徵。例如:所示的結構可適用於N型區50N與P型區50P兩者。在每個附圖的正文中描述了N型區50N與P型區50P的結構上的差異(如果有的話)。
在圖7A與圖7B中,閘極間隔物90形成在奈米結構64與奈米結構66上方,且位於遮罩86(若存在)、假閘極84、以及假介電質82之暴露的側壁上。可藉由順形地沉積一或多種介電材料且隨後蝕刻介電材料來形成閘極間隔物90。可接受的介電材料包含如氧化矽或氧化鋁的氧化物、如氮化矽的氮化物、如碳化矽的碳化物、或其組合如氮氧化矽、碳氧化矽、碳氮化矽、或碳氮氧化矽等。可藉由如化學氣相沉積、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)、原子層沉積等順形沉積製程來形成介電材料。在所示的實施例中,每一閘極間隔物90皆包含多個層,例如:第一間隔物層90A與第二間隔物層90B。在一些實施例中,第一間隔物層90A與第二間隔物層90B係由碳氮氧化矽(例如:SiOxNyC1-x-y,其中x與y在0至1的範圍內)來形成。例如:第一間隔物層90A可由與第二間隔物層90B相似或不同的碳氮氧化矽之組成來形成。可進行可接受的蝕刻製程例如:乾式蝕刻、濕式蝕刻等或其組合來圖案化介電材料。此蝕刻可為非等向性的。當介電材料被蝕刻時具有 留在假閘極84之側壁上的部分(因此形成閘極間隔物90)。在蝕刻之後,閘極間隔物90可具有直的側壁(如圖所示)或可具有彎曲的側壁(未單獨繪示)。如後續將更詳細描述的,當蝕刻時介電材料亦可具有留在鰭片62及/或奈米結構64與奈米結構66之側壁上的部分(因此形成鰭片間隔物)。
此外,可進行佈植以形成輕摻雜的源極/汲極(LDD)區(未單獨繪示)。在具有不同元件類型的實施例中,類似於先前所描述用於井的佈植,當暴露出P型區50P時可在N型區50N上方形成如光阻的遮罩(未單獨示出),且可將適當類型(例如:P型)的雜質佈植到暴露在P型區50P中的鰭片62及/或奈米結構64與奈米結構66中。接著可移除遮罩。隨後,當暴露出N型區50N時可在P型區50P上方形成如光阻的遮罩(未單獨示出),且可將適當類型的雜質(例如:N型)佈植到暴露在N型區域50N中的鰭片62及/或奈米結構64與奈米結構66中。接著可移除遮罩。N型雜質可為前述的任何N型雜質,且P型雜質可為前述的任何P型雜質。在佈植期間,通道區68維持在被假閘極84覆蓋的狀態,使得通道區68大致上維持在無雜質佈植以形成LDD區。LDD區可具有雜質濃度在約1015cm-3至約1019cm-3的範圍內。可使用退火來修復佈植傷害且活化所佈植的雜質。
應注意的是,先前所揭露的大至上描述了形成間隔物與LDD區的製程。而其他製程與順序亦可被使用。例如: 可使用更少或更多的間隔物,可使用不同的步驟順序,可形成及移除額外的間隔物,及/或類似製程與順序。此外,可使用不同的結構與步驟來形成N型元件與P型元件。
在圖8A與圖8B中,源極/汲極凹陷94形成在奈米結構64與奈米結構66中。在所示的實施例中,源極/汲極凹陷94延伸穿過奈米結構64與奈米結構66並進入至鰭片62。源極/汲極凹陷94亦可延伸至基材50中。在各種實施例中,源極/汲極凹陷94可延伸至基材50的頂表面而無需蝕刻基材50。可蝕刻鰭片62使得源極/汲極凹陷94的底表面設置在STI區70的頂表面下方,或類似方式。可藉由使用如RIE或NBE或類似之非等向性蝕刻製程來蝕刻奈米結構64與奈米結構66以形成源極/汲極凹陷94。在用於形成源極/汲極凹陷94的蝕刻製程期間,閘極間隔物90與假閘極84共同地遮蓋鰭片62及/或奈米結構64與奈米結構66的部分。可使用單一蝕刻製程來蝕刻每一奈米結構64與奈米結構66,或使用多重蝕刻製程來蝕刻每一奈米結構64與奈米結構66。在源極/汲極凹陷94達到所想要的深度後,可使用定時的蝕刻製程來停止對源極/汲極凹陷94的蝕刻。
可選地,在第一奈米結構64之其餘部分的側壁上形成內部間隔物96,例如:被源極/汲極凹陷94所暴露的那些側壁。如同將於後續所詳細敘述的,接著將在源極/汲極凹陷94中形成源極/汲極區,且第一奈米結構64隨後將被置換為相對應的閘極結構。內部間隔物96作為隨後所 形成之源極/汲極區與隨後所形成之閘極結構之間的隔離特徵。此外,內部間隔物96可用以大致上地防止由後續的蝕刻製程對後續所大致上形成之源極/汲極區域的傷害,如後續用來移除第一奈米結構64的蝕刻製程。
作為形成內部間隔物96的示例,源極/汲極凹陷94可被側向地擴展。具體而言,藉由源極/汲極凹陷94所暴露之第一奈米結構64之側壁的部分可被凹陷。儘管第一奈米結構64的側壁被繪示為筆直的,然而側壁可以是凹面或凸面。可藉由可接受的蝕刻製程來使側壁凹陷,如一種對第一奈米結構64的材料具有選擇性的蝕刻製程(例如:以比蝕刻第二奈米結構66的材料更快的速率選擇性地蝕刻第一奈米結構64的材料)。蝕刻可以是等向性的。例如:當第二奈米結構66由矽所形成且第一奈米結構64由矽鍺所形成時,蝕刻製程可為使用四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(NH4OH)等濕式蝕刻。在另一實施例中,蝕刻製程可為使用如氟化氫(HF)氣體的氟基氣體的乾式蝕刻。在一些實施例中,可連續地進行相同的蝕刻製程以形成源極/汲極凹陷94且使第一奈米結構64的側壁凹陷。接著可藉由順形地形成絕緣材料並隨後蝕刻絕緣材料來形成內部間隔物96。絕緣材料可以是氮化矽或氮氧化矽,儘管可使用任何適合的材料,如k值小於約3.5的低介電常數(low-k)材料。可藉由如ALD、CVD等順形沉積製程來沉積絕緣材料。絕緣材料的蝕刻可為非等向性的。例如:蝕刻製程可 以是如RIE、NBE等的乾式蝕刻。儘管內部間隔物96的外側壁被繪示為相對於閘極間隔物90的側壁齊平,內部間隔物96的外側壁可延伸超過閘極間隔物90的側壁或從閘極間隔物90的側壁凹陷。換句話說,內部間隔物96可部分地填充、完全填充、或過度填充側壁的凹陷。而且,儘管內部間隔物96的側壁被繪示為筆直的,但是內部間隔物96的側壁可以是凹面或凸面。
在圖9A與圖9B中,在源極/汲極凹陷94中形成磊晶源極/汲極區98。在源極/汲極凹陷94中形成磊晶源極/汲極區98,使得每一假閘極84(與其所對應的通道區68)設置在磊晶源極/汲極區98的個別相鄰對之間。在一些實施例中,閘極間隔物90係用以將磊晶源極/汲極區98從假閘極84以一適當的橫向距離分離,且內部間隔物96係用以將磊晶源極/汲極區98從第一奈米結構64以一適當的橫向距離分離,使得磊晶源極/汲極區98不會與後續所形成之奈米FET的閘極短路。可選擇磊晶源極/汲極區98的材料以在各個通道區68中施加應力,從而改善性能。
可藉由遮蔽P型區50P來形成N型區50N中的磊晶源極/汲極區98。接著,在N型區50N中的源極/汲極凹陷94中磊晶生長N型區50N中的磊晶源極/汲極區域98。磊晶源極/汲極區98可包含適合於N型奈米FET的任何可接受的材料。例如:N型區50N中的磊晶源極/汲極區98可包含在通道區68上施加拉伸應變的材料,如 矽、碳化矽、摻雜磷的碳化矽、磷化矽等。N型區50N中的磊晶源極/汲極區98可具有從鰭片62與奈米結構64與奈米結構66的相應表面凸起的表面,且可具有小面(facets)。
可藉由遮蔽N型區50N來形成P型區50P中的磊晶源極/汲極區98。接著,在P型區50P中的源極/汲極凹陷94中磊晶生長P型區50P中的磊晶源極/汲極區98。磊晶源極/汲極區98可包含適合於P型奈米FET的任何可接受的材料。例如:P型區50P中的磊晶源極/汲極區98可包含在通道區68上施加拉伸應變的材料,如矽鍺、摻雜硼的矽鍺、鍺、鍺錫等。P型區50P中的磊晶源極/汲極區98可具有從鰭片62與奈米結構64與奈米結構66的相應表面凸起的表面,且可以有小面。
磊晶源極/汲極區98、奈米結構64與奈米結構66、及/或鰭片62可以摻質(dopant)來佈植以形成源極/汲極區,類似於先前針對形成LDD區所描述的,接著進行退火。源極/汲極區的雜質濃度可在大約1019cm-3至大約1021cm-3的範圍內。用於源極/汲極區的N型及/或P型雜質可以是先前所描述的任何雜質。在一些實施例中,磊晶源極/汲極區98可以在成長期間被臨場摻雜。
作為用於形成磊晶源極/汲極區98的磊晶製程的結果,磊晶源極/汲極區的上表面具有小面,其側向地延伸朝向外超過鰭片62、奈米結構64與奈米結構66的側壁。在一些實施例中,這些小面造成相鄰的磊晶源極/汲極區98 合併,如圖9C所示。在一些實施例中,如圖9D所示,在磊晶製程完成之後,相鄰的磊晶源極/汲極區98仍維持分離。在所示的實施例中,用於形成閘極間隔物90的間隔物蝕刻可被調整,使得亦形成在鰭片62及/或奈米結構64與奈米結構66之側壁上的鰭片間隔物92。形成鰭片間隔物92以覆蓋在STI區70上方延伸之鰭片62的側壁的部分,因而阻礙了磊晶成長。在另一實施例中,用於形成閘極間隔物90的間隔物蝕刻可被調整,使其無法形成鰭片間隔物,從而允許磊晶源極/汲極區98延伸至STI區70的表面。
磊晶源極/汲極區98可包含一或多個半導體材料層。例如:磊晶源極/汲極區98可各自包含墊層98A、主要層98B、以及終止層98C(或更一般地,第一半導體材料層、第二半導體材料層、以及第三半導體材料層)。可將任何數量的半導體材料層用於磊晶源極/汲極區98。墊層98A、主要層98B、以及終止層98C中之每一者可由不同的半導體材料來形成且可摻雜至不同的摻質濃度。在一些實施例中,墊層98A可具有小於主要層98B的摻質濃度,並且終止層98C可具有大於墊層98A且小於主要層98B的摻質濃度。在磊晶源極/汲極區98包含三個半導體材料層的實施例中,可在源極/汲極凹陷94中成長墊層98A,可在墊層98A上成長主要層98B,並且可在主要層98B上成長終止層98C。
在圖10A與圖10B中,在磊晶源極/汲極區98、 閘極間隔物90、遮罩86(若存在)或假閘極84上方沉積第一ILD 104。第一ILD 104可由材料來形成,並且可藉由任何適合的方法來沉積,如CVD、PECVD、FCVD等。可接受的介電材料可包含磷矽酸鹽玻璃(phospho-silicate glass;PSG)、硼矽酸鹽玻璃(boro-silicate glass;BSG)、摻硼磷矽酸鹽玻璃(boron-doped phospho-silicate glass;BPSG)、或未摻雜矽酸鹽玻璃(undoped silicate glass;USG)、或類似的介電材料。藉由任何可接受的方法所形成的其他絕緣材料是可以被使用的。
在一些實施例中,在第一ILD 104與磊晶源極/汲極區98、閘極間隔物90、以及遮罩86(若存在)或假閘極84之間形成接觸蝕刻停止層(contact etch stop layer;CESL)102。CESL 102可由介電材料來形成,如氮化矽、氧化矽、或氮氧化矽等,其具有相對於第一ILD 104之蝕刻的高蝕刻選擇性。可藉由任何適合的方法來形成CESL 102,如CVD或ALD等。
在圖11A與圖11B中,進行移除製程以使第一ILD 104的頂表面與遮罩86(若存在)或假閘極84的頂表面等高。在一些實施例中,可使用如化學機械研磨(CMP)的平坦化製程、回蝕製程、其組合、或類似的製程。平坦化製程亦可移除假閘極84上的遮罩86,以及沿著遮罩86之側壁的閘極間隔物90的部分。在平坦化製程之後,閘極間隔物90、第一ILD 104、CESL 102、以及遮罩86(若 存在)或假閘極84的頂表面為共平面的(在製程變化之內)。據此,遮罩86(若存在)或假閘極84的頂表面穿透第一ILD 104而暴露出來。在所示的實施例中,遮罩86仍保留,且平坦化製程使第一ILD 104的頂表面與遮罩86的頂表面等高。
在圖12A與圖12B中,在一蝕刻製程中移除遮罩86(若存在)或假閘極84,因而形成了凹陷110。假介電質82在凹陷110中的部分亦被移除。在一些實施例中,藉由非等向性乾式蝕刻製程來移除假閘極84。例如:蝕刻製程可包含使用一或多種反應氣體的乾式蝕刻製程,其以比蝕刻第一ILD 104或閘極間隔物90更快的速率來選擇性地蝕刻假閘極84。在移除期間,當蝕刻假閘極84時,假介電質82可被用來作為蝕刻停止層。接著移除假介電質82。每一凹陷110暴露及/或覆蓋通道區68的部分。作為通道區68之第二奈米結構66的部分係設置在磊晶源極/汲極區98的相鄰對之間。
第一奈米結構64的剩餘部分接著被移除以擴大凹陷110。可藉由可接受的蝕刻製程來移除第一奈米結構64的剩餘部分,其以比蝕刻第二奈米結構66之材料更快的速率來選擇性地蝕刻第一奈米結構64的材料。蝕刻可以是等向性的。例如:當第一奈米結構64由矽鍺所形成且第二奈米結構66由矽所形成時,蝕刻製程可為使用氫氧化四甲基銨(TMAH)、氫氧化銨(NH4OH)等濕式蝕刻。在一些實施例中,進行一修整製程(未單獨繪示)以減小第二奈米結 構66之暴露部分的厚度。如圖14至圖19(後續將更詳細地描述)中更清楚地繪示,第二奈米結構66的剩餘部分可具有圓角。
在圖13A與圖13B中,在凹陷110中形成閘極介電層112。在閘極介電層112上形成閘極電極層114。閘極介電層112與閘極電極層114係用於置換閘極的層,並且每一者皆包圍環繞第二奈米結構66的所有(例如:四個)側面。
閘極介電層112係設置在鰭片62的側壁及/或頂表面上;在第二奈米結構66的頂表面、側壁、以及底表面上;以及在閘極間隔物90的側壁上。閘極介電層112亦可形成在第一ILD 104與閘極間隔物90的頂表面上。閘極介電層112可包含如金屬氧化物或氧化矽的氧化物、如金屬矽酸鹽的矽酸鹽、其組合、或其多個層等。閘極介電層112可包含具有k值大於約7.0的介電材料,如鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合的金屬氧化物或矽酸鹽。儘管在圖13A與圖13B中係繪示了單層的閘極介電層112,然而如後續將更詳細描述的,閘極介電層112可包含界面層與主要層。
閘極電極層114可包括含金屬的材料,如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合、或其多個層等。儘管在圖13A與圖13B中係繪示了單層的閘極電極層114,然而如後續將更詳細描述的,閘極電極層114可包含任意數量的功函數調整層,任意數量的黏著 層、以及填充材料。
在N型區50N與P型區50P中之閘極介電層112的形成可同時發生,使得每一區中的閘極介電層112係由相同的材料所形成,且閘極電極層114的形成可同時發生,使得每一區中的閘極電極層114係由相同的材料所形成。在一些實施例中,每一區中的閘極介電層112可藉由不同的製程來形成,使得閘極介電層112可為不同的材料及/或具有不同數量的層,及/或每一區中的閘極電極層114可藉由不同的製程形成,使得閘極電極層114可為不同的材料及/或具有不同數量的層。當使用不同的製程時,可使用各種遮蔽的步驟來遮蔽和暴露適當的區域。在以下的描述中,將分別地形成N型區50N中的閘極電極層114與P型區50P中的閘極電極層114。
圖14至圖19繪示了一種製程,其中用於置換閘極的閘極介電層112與閘極電極層114係形成於P型區50P中的凹陷110中。區域中的特徵類似於圖13A中所繪示的區域50R。圖23係根據一些實施例之用於在P型區50P中形成置換閘極層的例示性方法200的流程圖。圖14至圖19係搭配圖23進行描述。閘極電極層114包含以氟處理過的WFM層。處理製程包含將WFM層浸透在含鋁的前驅物中,接著將WFM層浸透在含氟的前驅物中。由於氟處理,所得之電晶體的平帶電壓(flatband voltage;VFB)可朝向WFM層之金屬的能帶邊緣增加,所得之電晶體的臨界電壓可降低,且可改善元件性能。可 至少在P型區50P中形成閘極電極層114的同時遮蔽N型區50N。
在圖14與方法200的步驟202中,閘極介電層112係沉積在P型區50P的凹陷110中。閘極介電層112的形成方法可包含分子束沉積(molecular-beam deposition;MBD)、ALD、PECVD等。閘極介電層112包圍環繞第二奈米結構66的所有(例如:四個)側面。在所示的實施例中,閘極介電層112是多層的,包含第一閘極介電層112A(例如:界面層)以及覆蓋的第二閘極介電層112B(例如:高k介電層)。第一閘極介電層112A可由氧化矽形成,且第二閘極介電質層112B可由氧化鉿形成。
在圖15與方法200的步驟204中,在P型區50P中的閘極介電層112上保形地沉積第一導電材料114A的層。第一導電材料114A為P型功函數金屬(PWFM),如氮化鈦、氮化鉭、氮化矽鈦、氮化鎢、氮化鉬等,其可藉由CVD、ALD、PECVD、PVD、或類似製程來沉積。因此,第一導電材料114A的層可被稱為功函數調整層。第一導電材料114A的厚度可在約10埃(Å)至約20埃的範圍內。可沉積第一導電材料114A以圍繞每一第二奈米結構66。在沉積第一導電材料114A之後,開口116可保留在第二奈米結構66之間的區域50I中。
在圖16與方法200的步驟206中,在第一導電材料114A之已暴露的表面上進行處理製程。處理製程包 含鋁處理118與氟處理120。氟處理120將氟結合至第一導電材料114A與(可選擇地)第二閘極介電層112B中。如後續將更詳細描述的,與其他處理製程相比,鋁處理118提高了氟處理120的有效性,使得更多的氟被結合至第二閘極介電層112B及/或第一導電材料114A中。
在方法200的步驟208中,將鋁處理118應用至第一導電材料114A。在一些實施例中,鋁處理118為沉積製程(例如:ALD製程及CVD製程等),其包含了使含鋁前驅物在第一導電材料114A的表面上流動。具體而言,可藉由將基材50放置在沈積腔室中並且分配含鋁前驅物至沈積腔室中來進行鋁處理118。在一些實施例中,含鋁前驅物為有機鋁化合物(organoaluminium),如三乙基鋁(triethylaluminium;TEA)(Al2(C2H5)6)、三甲基鋁(trimethylaluminium;TMA)(Al2(CH3)6)等。在鋁處理118期間,鋁從含鋁前驅物中解離並結合至第一導電材料114A中。而與鋁所鍵結的其他基團(例如:乙基或甲基等)則從鋁前驅物中解離並且從沉積腔室中被抽出。作為鋁處理118的結果,第一導電材料114A可包含濃度在約0.5原子百分比至約25原子百分比的範圍內的鋁。
鋁處理118可在約250℃至約475℃的溫度範圍內進行,如藉由維持沉積腔室的溫度在此範圍內。在此溫度範圍內進行鋁處理118可將所需數量的鋁結合至第一導電材料114A中,從而產生足夠數量之氟可以鍵結的位置。在此溫度範圍外進行鋁處理118可能無法將所需量的 鋁結合至第一導電材料114A中。當鋁處理118的溫度低於250℃時,含鋁前驅物無法適當地解離,並且無法在第一導電材料114A中形成足夠數量之氟可以鍵結的位置。當鋁處理118的溫度高於475℃時,從含鋁前驅物所解離之鋁的量可能太大而無法精準地控制。
進行鋁處理118的時間長度可在約1秒至約15分鐘的範圍內,如藉由在此時間長度範圍內使含鋁前驅物在沉積腔室中流動。在此時間長度範圍內進行鋁處理118可將所需數量的鋁結合至第一導電材料114A中,從而產生足夠數量之氟可以鍵結的位置。在此時間長度範圍外進行鋁處理118可能無法將所需數量的鋁結合至第一導電材料114A中。當進行鋁處理118少於約1秒時,無法在第一導電材料114A中產生足夠數量之氟可以鍵結的位置。當進行鋁處理118大於約15分鐘時,過量的鋁可能被引入至元件中,因而非期望地改變了所得之電晶體的臨界電壓。
在一些實施例中,鋁處理118係使用單一化學物質(例如:TEA或TMA等)而不具有會觸發氧化還原反應之另一化學物質的沉積製程。因此,鋁處理118不會在第一導電材料114A上沉積連續的薄膜。然而,如後續將更詳細描述的,可在第一導電材料114A的頂表面上形成鋁殘留物的離散袋(discrete pockets)。
在其他實施例中,來自鋁處理118的殘留物可能不會形成在第一導電材料114A上。例如:圖24繪示了 其中並未形成鋁殘留物的一個實施例。而是,鋁可擴散至第一導電材料114A中。
在一些實施例中,鋁處理118並未導致鋁擴散至底下的閘極介電層112中,使得底下的閘極介電層112(例如:第二閘極介電層112B)不含鋁。在另一實施例中,鋁處理118可進一步導致鋁擴散至底下的閘極介電層112(例如:第二閘極介電層112B)中,且可由X射線光電子能譜分析(X-ray photoelectron spectroscopy analysis)在第二閘極介電質112B中觀察到鋁。
氟很容易地與鋁結合。在鋁處理118的期間,將鋁結合至第二閘極介電層112B及/或第一導電材料114A中,增加了在氟處理120期間氟可鍵結之位置的數量。如此一來,進行鋁處理118提高了氟處理120的有效性。
在方法200的步驟210中,將氟處理120應用至第一導電材料114A。在一些實施例中,氟處理120為沉積製程(例如:ALD製程與CVD製程等),其包含使含氟前驅物在第一導電材料114A的表面上流動。具體而言,可藉由將基材50放置在沉積腔室中並且分配含氟前驅物至沉積腔室中來進行氟處理120。在一些實施例中,含氟前驅物為WFx、NFx、TiFx、TaFx、HfFx等,其中x為1至6之範圍內的整數。例如:含氟前驅物可為WF6及/或NF3。在氟處理120期間,氟從含氟前驅物中解離並結合至第一導電材料114A中,與先前已結合至第一導電材料114A中的鋁結合。作為氟處理120的結果,第一 導電材料114A可包含濃度在約2.5原子百分比至約30原子百分比之範圍內的氟。
可在約250℃至約475℃的的溫度範圍內進行氟處理120,如藉由維持沉積腔室的溫度在此範圍內。在此溫度範圍內進行氟處理120對第一導電材料114A及/或其底下層發生所期望之變化的影響。在此溫度範圍外進行氟處理120可能無法對第一導電材料114A及/或其底下層發生所期望之變化的影像。當氟處理120的溫度小於250℃時,含氟前驅物無法適當地離解並對第一導電材料114A及/或其底下層發生所期望之變化的影響。當氟處理120的溫度大於475℃時,從含氟前驅物所離解之氟的量可能太大而無法被精準地控制。
進行氟處理120的時間長度可在約1秒至約15分鐘的範圍內,如藉由在此時間長度範圍內使含氟前驅物在沉積腔室中流動。在此時間長度範圍內進行氟處理120可以一所想要的數量來調整電晶體的臨界電壓。在此時間長度範圍外進行氟處理120可能無法以一所想要的數量來調整電晶體的臨界電壓。當進行氟處理120少於約1秒時,藉由處理製程所引入之氟的數量可能不足以調整所得到之電晶體的臨界電壓。當進行氟處理120大於約15分鐘時,過量的氟可能被引入至元件中,導致電容等效厚度(capacitance equivalent thickness;CET)損失(例如:第一閘極介電層112A的再成長)。
在一些實施例中,氟處理120係使用單一化學物 質(例如:WF6或NF3等)而不具有會觸發氧化還原反應之另一化學物質的沉積製程。因此,氟處理120不會在第一導電材料114A上沉積連續的薄膜。在含氟前驅物亦包括金屬的其他實施例中,可在第一導電材料114A的頂表面上形成金屬殘留物的離散袋。在氟處理120期間所使用之含氟前驅物為WF6的實施例中,殘留物可為在第一導電材料114A上所形成的鎢殘留物。因此,處理製程可形成一或多種金屬的殘留物114B,包括在鋁處理118期間所使用之含鋁前驅物的鋁殘留物(例如:未與氟鍵結的鋁),及/或在氟處理120期間所使用之含氟前驅物的殘留金屬(例如:鎢,當含氟前驅物為WF6時)。殘留物114B的每個袋可與殘留物114B的其他袋斷開。殘留物114B可在第一導電材料114A之已暴露的表面上形成,包含在第二奈米結構66之間閘極結構的區域50I中。在殘留物114B包含鋁殘留物與鎢殘留物且第二閘極介電層112B包含氧化鉿的一些實施例中,區域50I中之鋁比上鉿的比率可小於約0.1(如在約0.005至約0.1的範圍內)或小於約0.005,以及區域50I中之鎢比上鉿的比率可小於約0.1(如在約0.005至約0.1的範圍內)或小於約0.005。當區域50I中鋁比上鉿的比率或鎢比上鉿的比率大於約0.1時,所得到的元件可能不具所期望的臨界電壓(例如:臨界電壓可能太高)。
在含氟前驅物不包含金屬(例如:含氟前驅物為NF3)的其他實施例中,來自氟處理120的殘留物可能不會 形成在第一導電材料114A上。例如:圖24繪示了未形成金屬殘留物的一個實施例,並且在氟處理120期間所使用的含氟前驅物為NF3
在一些實施例中,氟處理120可進一步導致氟擴散至底下閘極介電層112(例如:第二閘極介電層112B)中,且可由X射線光電子能譜分析在第二閘極介電層112B中觀察到氟。例如:在第二閘極介電層112B包含氧化鉿的實施例中,作為氟處理120的結果,在區域50I中(例如:在第二閘極介電層112B中)之鉿比上氟的比率可在約0.015至約0.2的範圍內。當區域50I中之氟比上鉿的比率小於約0.015時,氟的數量可能不足以調整所得到之電晶體的臨界電壓。當區域50I中之氟比上鉿的比率大於約0.2時,過量的氟可能已被引入至第二閘極介電層112B中,導致CET損失(例如:第一閘極介電層112A的再成長)。作為氟處理120的結果,第二閘極介電層112B可包含濃度在約2.5原子百分比至約30原子百分比之範圍內氟。
如上文所述,在鋁處理118期間將鋁結合至第一導電材料114A之中而增加了在氟處理120期間氟可鍵結之位置的數量。此外,鋁-氟鍵結比鈦-氟鍵結更為穩定,且同樣地,結合至第一導電材料114A之氟的數量可更穩定地維持,且相較於其他處理製程其隨著時間減少得較少。例如:在實驗數據中,在WF6浸透前應用TEA浸透之處理的實施例,第一導電材料114A之氟的濃度增加了多達 10.8原子百分比,而允許正向且有效的功函數偏移超過約50毫伏。
在一些實施例中,鋁處理118與氟處理120係臨場(in-situ)進行的,例如:在鋁處理118與氟處理120之間,在相同的沉積腔室中而不打破沉積腔室中的真空。例如:所進行的處理製程可包含:將基材50放置在沉積腔室中;含鋁前驅物流入至沉積腔室(因此進行鋁處理118);將含鋁前驅物從沉積腔室中抽空;含氟前驅物流入至沉積腔室(因此進行氟處理120);將含氟前驅物從沉積腔室中抽空;基材50從沉積腔室中離開。在各種實施例中,鋁處理118與氟處理120係在相同溫度且相同時間長度下進行;鋁處理118與氟處理120係在相同溫度且不同時間長度下進行;鋁處理118與氟處理120係在不同溫度且相同時間長度下進行;或鋁處理118與氟處理120係在不同溫度且不同時間長度下進行。
據此,如先前所描述的,在各種實施例中,形成一氟處理的WFM層(例如:第一導電材料114A),以及在形成氟處理的WFM層期間,氟可擴散至底下的閘極介電層112(例如:第二閘極介電層112B)。因此,所得到之電晶體的平帶電壓(VFB)可朝向WFM層之金屬的能帶邊緣增加,所得到之元件的臨界電壓可被降低,因而元件性能可獲得改善。例如:在實驗數據中,應用WF6浸透之氟處理的實施例,在合成氣體(forming gas)退火之後,可在金屬-氧化物-半導體電容上產生正向且有效的功函數偏 移約15mV至約130毫伏。
在圖17與方法200的步驟212中,在殘留物114B(若存在)及/或第一導電材料114A上順形地沉積第二導電材料114C的層。第二導電材料114C為P型功函數金屬(PWFM),如氮化鈦、氮化鉭、氮矽化鈦、氮化鎢、氮化鉬等,且可藉由CVD、ALD、PECVD、PVD、或類似製程來沉積。因此,第二導電材料114C的層可被稱為功函數調整層。第二導電材料114C的厚度可在約10埃至約20埃的範圍內。由於第二導電材料114C係在鋁處理118與氟處理120之後沉積,所以第二導電材料114C可不含氟和鋁,或者至少可具有比第一導電材料114A低的氟與鋁的濃度。
在一些實施例中,第一導電材料114A係不同於第二導電材料114C。例如:第一導電材料114A可為氮化鈦,且第二導電材料114C可為氮化鉭。在一些實施例中,第一導電材料114A係相同於第二導電材料114C。例如:第一導電材料114A與第二導電材料114C可各自為氮化鈦。
第二導電材料114C可填充第二奈米結構66之間的區域50I的剩餘部分(例如:填充開口116,請參照圖15與圖16)。例如:第二導電材料114C可沉積在第一導電材料114A上直到其合併且接合在一起,以及在一些實施例中,界面114I可藉由在區域50I中之第二導電材料114C的第一部分(例如:第二導電材料114C圍繞第二奈 米結構66的部分)與第二導電材料114C的第二部分(例如:第二導電材料114C圍繞相鄰之第二奈米結構66的相鄰的部分)互相接觸而形成。
在圖18與方法200的步驟214中,閘極電極層114的剩餘部分被沉積以填充P型區50P中之凹陷110的其餘部分。具體而言,在第二導電材料114C沉積一填充層114E。可選擇地,在填充層114E與第二導電材料114C之間形成黏著層114D。完成形成之後在P型區50P中的閘極電極層114包含第一導電材料114A、殘留物114B(若存在)、第二導電材料114C、黏著層114D、以及填充層114E。
可在第二導電材料114C上順形地沉積黏著層114D。黏著層114D可由如氮化鈦、氮化鉭等的導電材料來形成,且其可藉由CVD、ALD、PECVD、PVD、或類似製程來沉積。黏著層114D可替代地被稱為黏膠層(glue layer),且可改善第二導電材料114C與填充層114E之間的黏著。
在黏著層114D上沉積填充層114E。在一些實施例中,填充層114E可由如鈷、釕、鋁、鎢、其組合或類似的導電材料來形成,且其可藉由CVD、ALD、PECVD、PVD、或類似製程來沉積。填充層114E填充P型區50P中的凹陷110的剩餘部分。
在P型區50P中,閘極介電層112(例如:第一閘極介電層112A與第二閘極介電層112B)與閘極電極 層114(例如:第一導電材料114A與殘留物114B(若存在)、第二導電材料114C、黏著層114D、以及填充層114E)可在第二奈米結構66的頂表面、側壁、以及底表面上分別地形成。殘留物114B可在第一導電材料114A與第二導電材料114C之間的界面形成,且殘留物114B的金屬元素可不同於第一導電材料114A的金屬元素及/或第二導電材料114C的金屬元素。
圖19繪示了用於置換閘極的閘極介電層112與閘極電極層114,其形成在N型區50N中的凹陷110中。區域中的特徵類似於圖13A中所繪示的區域50R。在一些實施例中,N型區50N與P型區50P中的閘極介電層112可同時形成。此外,可在形成P型區50P中的閘極電極層114之前或之後中的一者形成N型區50N中至少部分的閘極電極層114(請參照圖14至圖18),且當P型區50P被遮蔽時可形成N型區50N中至少部分的閘極電極層114。如此,N型區50N中的閘極電極層114可包含不同於P型區50P中的閘電極層114的材料。例如:N型區50N中的閘極電極層114可包含第三導電材料114F的層。第三導電材料114F為N型功函數金屬(NWFM),如鈦鋁、碳化鋁鈦、鉭鋁、碳化鉭、或其組合等,其可藉由CVD、ALD、PECVD、PVD、或類似製程等來沈積。因此,第三導電材料114F的層可被稱為功函數調整層。由於第三導電材料114F係在鋁處理118與氟處理120之後沉積,所以第三導電材料114F可不含氟或鋁,或至少可具有比 第一導電材料114A低的氟與鋁的濃度。N型區50N中的閘極電極層114亦可包含黏著層114D與填充層114E。N型區50N中的黏著層114D可具有(或可不具有)相同的材料組成,且與P型區50P中的黏著層114D同時地沉積。N型區50N中的填充層114E可具有(或可不具有)相同的材料組成,且與P型區50P中的填充層114E同時地沉積。
在一些實施例中,第三導電材料114F不同於第一導電材料114A與第二導電材料114C。例如:第一導電材料114A與第二導電材料114C可各自為氮化鈦或氮化鉭,而第三導電材料114F為氮化鋁。
在圖20A與圖20B中,進行移除製程以移除閘極介電層112與閘極電極層114之材料的多餘部分,而這些多餘部分係在第一ILD 104與閘極間隔物90的頂表面上方,因而形成閘極介電質122與閘極電極124。在一些實施例中,可使用如化學機械拋光(CMP)的平坦化製程、回蝕製程、其組合、或類似製程等。當平坦化時,閘極介電層112具有留在凹陷110中的部分(因此形成閘極介電質122)。當平坦化時,閘極電極層114具有留在凹陷110中的部分(因此形成閘極電極124)。閘極間隔物90的頂表面、CESL 102的頂表面、第一ILD 104的頂表面、閘極介電質122(例如:第一閘極介電層112A與第二閘極介電層112B,請參照圖18)的頂表面、以及閘極電極124(例如:第一導電材料114A、第二導電材料114C、 黏著層114D、填充層114E、以及第三導電材料114F,請參照圖18與圖19)的頂表面為共平面(在製程變化內)。閘極介電質122與閘電極124形成所得到之奈米FET的置換閘極。每一分別的閘極介電質122與閘極電極124對可被統稱為「閘極結構」。閘極結構各自沿著第二奈米結構66的通道區68的頂表面、側壁、以及底表面延伸。
在圖21A與圖21B中,在閘極間隔物90、CESL 102、第一ILD 104、閘極介電質122、以及閘極電極124上方沉積第二ILD 134。在一些實施例中,第二ILD 134係藉由可流動性CVD方法所形成之可流動的薄膜。在一些實施例中,第二ILD 134係由如PSG、BSG、BPSG、USG等的介電材料所形成,且可藉由如CVD與PECVD的任何適合的方法來沉積。
在一些實施例中,在第二ILD 134與閘極間隔物90、CESL 102、第一ILD 104、閘極介電質122、以及閘極電極124之間形成蝕刻停止層(etch stop layer;ESL)132。ESL 132可包含如氮化矽、氧化矽、氮氧化矽等的介電材料,其具有相對於第二ILD 134的蝕刻較高的蝕刻選擇性。
在圖22A與圖22B中,分別地形成閘極接觸142與源極/汲極接觸144,以接觸閘極電極124與磊晶源極/汲極區域98。閘極接觸142係物理性地且電性地耦接至閘極電極124,且源極/汲極觸144係物理性地且電性地耦接至磊晶源極/汲極區98。
作為形成閘極接觸142與源極/汲極接觸144的示例,穿透第二ILD 134與ESL 132來形成用於閘極接觸142的開口,且穿透第二ILD 134、ESL 132、第一ILD 104、以及CES 102來形成用於源極/汲極接觸144的開口。可使用可接受的光學微影與蝕刻技術來形成開口。在開口中形成如擴散阻障層、黏著層、或類似等的墊層(未單獨繪示),以及可在開口中形成導電材料。墊層可包含鈦、氮化鈦、鉭、或氮化鉭等。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可進行如CMP的平坦化製程以從第二ILD 134的表面移除多餘的材料。剩餘的墊層與導電材料則在開口中形成閘極接觸142與源極/汲極接觸144。閘極接觸142與源極/汲極接觸144可以分離的製程來形成,或可在相同的製程中形成。儘管所繪示之形成為相同的剖面,但應理解的是,每一閘極接觸142與源極/汲極接觸144可形成為不同的剖面,此可避免接觸的短路。
可選擇地,在磊晶源極/汲極區98與源極/汲極觸144之間的界面處形成金屬-半導體合金區146。金屬-半導體合金區146可為由金屬矽化物(例如:鈦矽化物、鈷矽化物、鎳矽化物等)所形成的矽化物區,由金屬鍺化物(例如:鈦鍺化物,鈷鍺化物,鎳鍺化物等)所形成的鍺化物區,由金屬矽化物與金屬鍺化物二者所形成的矽鍺化物區、或類似物質等。藉由在開口中沉積用於源極/汲極接觸144的金屬且接著進行熱退火製程,可在源極/汲極接觸144的材料之前形成金屬-半導體合金區146。此金屬可為能夠 與磊晶源極/汲極區98的半導體材料(例如:矽、矽鍺、鍺等)反應以形成低電阻的金屬-半導體合金,如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐熔金屬、稀土金屬或其合金。可藉由如ALD、CVD、PVD等沉積製程來沉積金屬。在熱退火製程之後,可進行如濕式清洗的清洗製程以從開口中移除用於源極/汲極接觸144之任何殘留的金屬,如從金屬-半導體合金區146的表面移除任何殘留的金屬。接著可在金屬-半導體合金區146上形成源極/汲極接觸144的一或多個材料。
圖24係根據一些其他實施例的奈米FET的視圖。此實施例類似於針對圖18所描述的,除了在第一導電材料114A與第二導電材料114C之間沒有形成殘留物114B。此狀況是有可能實現的,例如:在鋁處理118期間所使用之含鋁前驅物中的所有鋁皆在氟處理120期間與氟鍵結(請參照圖16),及/或當氟處理120期間所使用的含氟前驅物中不含金屬。例如:在含氟前驅物為NF3且所有鋁皆鍵結至氟的實施例中,殘留物114B可能不會形成。
如上所述,一些實施例考慮了平面元件中所使用的概念,如平面FET或鰭式場效電晶體(FinFET)。圖25A至圖26係根據一些實施例的FinFET的視圖。圖25A與圖25B以類似於圖22A與圖22B的視圖來表示,且圖26以類似於圖18的視圖來表示,除了不是奈米FET而是FinFET。在所示的實施例中,鰭片62包含通道區68,且閘極結構沿著鰭片62的側壁與頂表面延伸。圖26表示 了其中閘極結構包含殘留物114B的實施例,然而殘留物114B可以類似於先前針對圖24所述之方法來除去。
一些實施例考慮了某些功函數調整層的省略。圖27與圖28係根據一些實施例的元件的視圖。圖27以類似於圖18的視圖來表示奈米FET,且圖28以類似於圖26的視圖來表示FinFET。在這些實施例中,第一導電材料114A已處理,而第二導電材料114C被省略。可藉由消除第二導電材料114C來降低製造複雜度。
一些實施例考慮了其他功函數調整層的氟處理。圖29與圖30係根據一些實施例的元件的視圖。圖29以類似於圖18的視圖來表示奈米FET,且圖30以類似於圖26的視圖來表示FinFET。在這些實施例中,第一導電材料114A與第二導電材料114C皆被包含在內,然而卻是第二導電材料114C被處理而非第一導電材料114A。因此,可在第二導電材料114C上形成殘留物114B而不是在第一導電材料114A上。處理第二導電材料114C而不是第一導電材料114A可允許形成具有其他所期望之臨界電壓的元件。
這些實施例可以達成多個優點。進行氟處理120形成具有氟處理的WFM層的閘極堆疊。例如:氟處理可包含在WFM層上進行氟浸透,其亦可將氟擴散至底下的閘極介電質(例如:高k閘極介電質)中。進行鋁處理118提高了氟處理120的有效性,使得更多的氟被結合至WFM層中。因此,所得到之電晶體的平帶電壓可朝向WFM層 之金屬的能帶邊緣增加,所得到之電晶體的臨界電壓可減少,並且可改善元件性能。
本揭露之一態樣係提供一種半導體元件,包含:第一通道區、第二通道區、以及圍繞第一通道區與第二通道區的閘極結構;其中閘極結構包含:閘極介電層、在閘極介電層上且包含氟與鋁的第一P型功函數金屬、在第一P型功函數金屬上且相較於第一P型功函數金屬具有較低之氟濃度與較低之鋁濃度的第二P型功函數金屬、以及在第二P型功函數金屬上的填充層。在一些實施例中,閘極結構的第一區係設置在第一通道區與第二通道區之間,且其中在閘極結構的第一區中的氟比上鋁的比率係在0.005至0.1的範圍內。在一些實施例中,第一閘極結構更包含:在第一P型功函數金屬與第二P型功函數金屬之間介面處的金屬殘留物,此金屬殘留物包含鋁與鎢。在一些實施例中,閘極結構的第一區係設置在第一通道區與第二通道區之間,且其中在閘極結構的第一區中的氟比上鎢的比率係在0.005至0.1的範圍內。在一些實施例中,閘極介電層包含氟與鉿。在一些實施例中,閘極結構的第一區係設置在第一通道區與第二通道區之間,且其中在閘極結構的第一區中的氟比上鉿的比率係在0.015至0.2的範圍內。
本揭露另一態樣係提供一種半導體元件,包含:通道區、在通道區上的介面層、在介面層上的高介電常數閘極介電層、在高介電常數閘極介電層上的第一功函數調整層、在第一功函數調整層上的第二功函數調整層、在第二 功函數調整層上的黏著層、以及在黏著層上的填充層。其中第一功函數調整層包含第一P型功函數金屬、在第一P型功函數金屬中的鋁、以及在第一P型功函數金屬中的氟;第二功函數調整層包含第二P型功函數金屬且無鋁。在一些實施例中,高介電常數閘極介電層包含氟與鉿,高介電常數閘極介電層係無鋁。在一些實施例中,第一功函數調整層與第二功函數調整層為氮化鈦。在一些實施例中,第一功函數調整層為氮化鈦且第二功函數調整層為氮化鉭。
本揭露又一態樣係提供一種半導體元件的製造方法,包含:在通道區上沈積閘極介電層;在閘極介電層上沈積第一P型功函數金屬;在第一P型功函數金屬上進行鋁處理;進行鋁處理之後,在第一P型功函數金屬上進行氟處理;以及進行氟處理之後,在第一P型功函數金屬上沈積第二P型功函數金屬。在一些實施例中,鋁處理將鋁結合至第一P型功函數金屬中,氟處理將氟結合至第一P型功函數金屬中,氟處理期間所結合的這些氟鍵結至鋁處理期間所結合的這些鋁。在一些實施例中,鋁處理為第一沈積製程,此第一沈積製程暴露第一P型功函數金屬的表面至含鋁前驅物,以及其中氟處理為第二沈積製程,此第二沈積製程暴露第一P型功函數金屬的表面至含氟前驅物。在一些實施例中,含氟前驅物為WFx、NFx、TiFx、TaFx、或HfFx,且其中x為在1至6之範圍內的整數。在一些實施例中,含鋁前驅物為三乙基鋁(triethylaluminium)或三甲基鋁(trimethylaluminium)。在一些實施例中, 第一沈積製程與第二沈積製程係在相同的沈積腔室中進行。在一些實施例中,第一沈積製程與第二沈積製程係在相同的溫度中進行。在一些實施例中,第一沈積製程與第二沈積製程係在不同的溫度中進行。在一些實施例中,在鋁處理期間無鋁擴散至閘極介電層中。在一些實施例中,在氟處理期間氟擴散至閘極介電層中。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
122:閘極介電質
124:閘極電極
50:基材
62:鰭片
66:第二奈米結構
70:隔離區
98:磊晶源極/汲極區
A-A’:剖面
B-B’:剖面
C-C’:剖面

Claims (10)

  1. 一種半導體元件,包含:一第一通道區;一第二通道區;以及一閘極結構,圍繞該第一通道區與該第二通道區,該閘極結構包含:一閘極介電層;一第一P型功函數金屬,該第一P型功函數金屬在該閘極介電層上且包含氟與鋁;一第二P型功函數金屬,該第二P型功函數金屬在該第一P型功函數金屬上,且相較於該第一P型功函數金屬具有一較低的氟濃度與一較低的鋁濃度;以及一填充層,該填充層在該第二P型功函數金屬上。
  2. 如請求項1所述之元件,其中該第一閘極結構更包含:在該第一P型功函數金屬與該第二P型功函數金屬之間介面處的金屬殘留物,該金屬殘留物包含鋁與鎢。
  3. 如請求項1所述之元件,其中該閘極介電層包含氟與鉿。
  4. 一種半導體元件,包含:一通道區; 一介面層,該介面層在該通道區上;一高介電常數閘極介電層,該高介電常數閘極介電層在該介面層上;一第一功函數調整層,該第一功函數調整層在該高介電常數閘極介電層上,且包含一第一P型功函數金屬、在該第一P型功函數金屬中的鋁、以及在該第一P型功函數金屬中的氟;一第二功函數調整層,該第二功函數調整層在該第一功函數調整層上,且包含一第二P型功函數金屬,該第二P型功函數金屬無氟且無鋁;一黏著層,該黏著層在該第二功函數調整層上;以及一填充層,該填充層在該黏著層上。
  5. 如請求項4所述之元件,其中該高介電常數閘極介電層包含氟與鉿,該高介電常數閘極介電層係無鋁。
  6. 一種半導體元件的製造方法,包含:在一通道區上沈積一閘極介電層;在該閘極介電層上沈積一第一P型功函數金屬;在該第一P型功函數金屬上進行一鋁處理;進行該鋁處理之後,在該第一P型功函數金屬上進行一氟處理;以及進行該氟處理之後,在該第一P型功函數金屬上沈積一 第二P型功函數金屬。
  7. 如請求項6所述之方法,其中該鋁處理將鋁結合至該第一P型功函數金屬中,其中該氟處理將氟結合至該第一P型功函數金屬中,其中該氟處理期間所結合的該些氟係鍵結至該鋁處理期間所結合的該些鋁。
  8. 如請求項6所述之方法,其中該鋁處理為一第一沈積製程,該第一沈積製程暴露該第一P型功函數金屬的一表面至一含鋁前驅物,以及其中該氟處理為一第二沈積製程,該第二沈積製程暴露該第一P型功函數金屬的該表面至一含氟前驅物。
  9. 如請求項6所述之方法,其中在該鋁處理期間無鋁擴散至該閘極介電層中。
  10. 如請求項6所述之方法,其中在該氟處理期間氟擴散至該閘極介電層中。
TW110117955A 2021-01-22 2021-05-18 半導體元件與其製造方法 TWI789761B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163140288P 2021-01-22 2021-01-22
US63/140,288 2021-01-22
US17/189,779 2021-03-02
US17/189,779 US11688786B2 (en) 2021-01-22 2021-03-02 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202230790A TW202230790A (zh) 2022-08-01
TWI789761B true TWI789761B (zh) 2023-01-11

Family

ID=81712625

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117955A TWI789761B (zh) 2021-01-22 2021-05-18 半導體元件與其製造方法

Country Status (5)

Country Link
US (2) US11688786B2 (zh)
KR (1) KR102503924B1 (zh)
CN (1) CN114566500A (zh)
DE (1) DE102021105456A1 (zh)
TW (1) TWI789761B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688786B2 (en) * 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201901761A (zh) * 2017-05-23 2019-01-01 美商格芯(美國)集成電路科技有限公司 用於奈米片裝置之取代金屬閘極圖案化

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
KR102395061B1 (ko) 2015-07-02 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
DE102016116310A1 (de) 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co. Ltd. Atomlagenabscheidungsverfahren und strukturen davon
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10276390B2 (en) 2016-04-13 2019-04-30 Globalfoundries Inc. Method and apparatus for reducing threshold voltage mismatch in an integrated circuit
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10665685B2 (en) * 2017-11-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US10468258B1 (en) 2018-06-12 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Passivator for gate dielectric
US11081584B2 (en) 2018-10-30 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices
US11069534B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183431B2 (en) 2019-09-05 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11688786B2 (en) * 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201901761A (zh) * 2017-05-23 2019-01-01 美商格芯(美國)集成電路科技有限公司 用於奈米片裝置之取代金屬閘極圖案化

Also Published As

Publication number Publication date
US20230282725A1 (en) 2023-09-07
KR20220106647A (ko) 2022-07-29
DE102021105456A1 (de) 2022-07-28
KR102503924B1 (ko) 2023-02-27
TW202230790A (zh) 2022-08-01
US11688786B2 (en) 2023-06-27
US20220238686A1 (en) 2022-07-28
CN114566500A (zh) 2022-05-31

Similar Documents

Publication Publication Date Title
US11195717B2 (en) Semiconductor device and method
US11688648B2 (en) Gate structure of a semiconductor device and method of forming same
US11916114B2 (en) Gate structures in transistors and method of forming same
US20230253472A1 (en) Semiconductor Device and Method
US20240113183A1 (en) Semiconductor device and method
US20230282725A1 (en) Semiconductor Devices and Methods of Forming the Same
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20220359654A1 (en) Methods of Forming Semiconductor Devices Including Gate Barrier Layers
KR102509232B1 (ko) 트랜지스터 게이트 구조체들 및 그것들을 형성하는 방법들
KR102454025B1 (ko) 게이트 전극 퇴적 및 게이트 전극 퇴적에 의해 형성되는 구조체
US11810948B2 (en) Semiconductor device and method
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
US11756832B2 (en) Gate structures in semiconductor devices
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US20230317859A1 (en) Transistor gate structures and methods of forming thereof