TWI719156B - 對襯底進行加工的方法 - Google Patents

對襯底進行加工的方法 Download PDF

Info

Publication number
TWI719156B
TWI719156B TW106107457A TW106107457A TWI719156B TW I719156 B TWI719156 B TW I719156B TW 106107457 A TW106107457 A TW 106107457A TW 106107457 A TW106107457 A TW 106107457A TW I719156 B TWI719156 B TW I719156B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
cavity
feature
dimension
Prior art date
Application number
TW106107457A
Other languages
English (en)
Other versions
TW201801176A (zh
Inventor
賽門 羅芙爾
輝雄 戴
郎軍
約翰 哈塔拉
Original Assignee
美商瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瓦里安半導體設備公司 filed Critical 美商瓦里安半導體設備公司
Publication of TW201801176A publication Critical patent/TW201801176A/zh
Application granted granted Critical
Publication of TWI719156B publication Critical patent/TWI719156B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種對襯底進行加工的方法可包括:在所述襯底上提供表 面特徵,所述表面特徵包括特徵形狀、特徵位置及在襯底平面內沿第一方向的尺寸;在所述襯底特徵上沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於所述襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行反應性蝕刻,其中所述離子撞擊所述表面特徵的第一部分且不撞擊所述表面特徵的第二部分,且其中產生改變後的表面特徵,所述改變後的表面特徵在以下中的至少一個方面不同於所述表面特徵:沿所述第一方向的所述尺寸、所述特徵形狀或所述特徵位置。

Description

對襯底進行加工的方法 [相關申請案的交互參照]
本申請主張於2016年3月8日提出申請的美國臨時專利申請第62/305,308號的優先權,所述美國臨時專利申請全文併入本文供參考。
本發明實施例涉及電晶體加工技術,且更具體來說,涉及對三維裝置構造的加工。
隨著半導體裝置持續按比例縮小至更小的尺寸,將特徵圖案化的能力變得日益難以實現。一方面,這些困難包括在給定的一代技術(technology generation)中獲得具有目標大小的特徵的能力。另一困難是獲得圖案化特徵的正確形狀的能力及對圖案化特徵的正確放置。
鑒於這些及其他考慮,本發明的改良可為有用的。
在一個實施例中,一種方法可包括:在襯底上提供表面特徵,所述表面特徵包括特徵形狀、特徵位置及在襯底平面內沿第一方向的尺寸;在所述襯底特徵上沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於所述襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行反應性蝕刻,其中所述離子撞擊所述表面特徵的第一部分且不撞擊所述表面特徵的第二部分,且其中產生改變後的表面特徵,所述改變後的表面特徵在以下中的至少一個方面不同於所述表面特徵:沿所述第一方向的所述尺寸、所述特徵形狀或所述特徵位置。
在另一實施例中,一種對襯底進行加工的方法可包括:在所述襯底中提供空腔,所述空腔具有在襯底平面內沿第一方向的第一尺寸及在所述襯底平面內沿第二方向的第二尺寸,所述第二方向垂直於所述第一方向;在所述空腔內沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行選擇性蝕刻,其中所述離子撞擊所述空腔的第一部分且不撞擊所述空腔的第二部分,且其中所述第一尺寸相對於所述第二尺寸被選擇性地改變。
在又一實施例中,一種對襯底進行加工的方法可包括:在所述襯底中提供空腔,所述空腔安置於所述襯底內的第一空腔位 置處;在所述空腔內沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於所述襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行選擇性蝕刻,其中所述離子撞擊所述空腔的第一部分且不撞擊所述空腔的第二部分,且其中在所述離子曝光之後所述空腔安置於所述襯底中的第二空腔位置處。
100、200、500、610、800:襯底
102、202、612:空腔
104:襯底基底
106、206、506、616、806:層
108、615:側壁
110、210、503、505、603、605、614、618、702、812:離子
112:第一部分
114:第二部分
120:垂線
124:反應性不帶電物質
204、504、604、804:基底
212:底部
502:溝槽
508:端壁
704:頂部邊緣
706:底部邊緣
802:柱
810:方向
900:加工設備
902:等離子體腔室
904:等離子體
906:提取板
908:提取開孔
910:襯底支座
911:加熱器總成
912、914:區
D1:原始直徑
D2:直徑
L1、L2、L3:長度
P:襯底平面
W1:寬度/原始寬度/原始溝槽寬度/直徑
W2:寬度
X、Y、Z:座標軸
θ:角度
圖1A至圖1D繪示根據本發明各種實施例對裝置結構的加工的一個實例。
圖2A至圖2D繪示根據本發明實施例對裝置結構的加工的另一實例。
圖3A至圖3G繪示根據本發明又一些實施例對裝置結構的加工。
圖4A至圖4B繪示根據本發明其他實施例對裝置結構的加工。
圖5A至圖5C示出根據本發明附加實施例對裝置結構的加工。
圖6A至圖6F說明根據本發明再一些實施例對裝置結構的加工。
圖7A至圖7C說明根據本發明某些實施例對裝置進行加工的另一實例。
圖8A至圖8G說明根據本發明某些附加實施例對裝置進行加工的另一實例。
圖9A說明根據本發明實施例的示例性加工設備。
圖9B繪示格局本發明實施例的示例性提取板(extraction plate)的細節。
現將參照示出某些實施例的附圖來在下文中更全面地闡述本發明實施例。本發明的主題可實施為許多不同形式而不應被視作僅限於本文所述實施例。提供這些實施例是為了使此公開內容將透徹及完整,並將向所屬領域中的技術人員充分傳達所述主題的範圍。在所有圖式中,相同的編號指代相同的元件。
本發明實施例提供將襯底圖案化的新穎技術且具體來說提供對安置於襯底表面上的或從襯底表面延伸至所述襯底中的特徵進行修飾的新穎技術。本文所用用語“襯底(substrate)”可指例如半導體晶片、絕緣晶片、陶瓷及其上所安置的任何層或結構等實體。因此,表面特徵、層、一系列層或其他實體可被視為安置於襯底上,其中所述襯底可代表例如矽晶片、氧化物層等結構的組合。
在各種實施例中,表面特徵可用於將安置於所述表面特 徵之下的層圖案化。表面特徵的實例包括形成於層內的孔,例如通孔或溝槽。在其他實例中,表面特徵可為柱、檯面結構、線結構(線)或在襯底上方延伸的其他特徵。用語“孔”可指穿過整個層延伸的結構,例如通孔。用語“孔”也可指例如形成於層內但不穿過層的整個厚度延伸的下陷部或凹陷部等結構。此外,本文所用用語“層”可指連續的層、具有毯覆區及隔離特徵區的半連續的層或大體由同種材料構成且安置於共用層或襯底上的隔離特徵的群組。
在各種實施例中,提供對一個或多個表面特徵進行修飾的技術。所述技術可在進行光刻加工以形成一個或多個表面特徵之後應用於所述表面特徵。在各種實施例中,表面特徵可界定於光致抗蝕劑、硬掩模材料(例如氧化物、氮化物或含碳材料)或其他材料中。這種光刻後加工可克服例如用於最小尺寸介於2nm至100nm範圍內的特徵的已知光刻法的缺點(尤其是在納米級方面的缺點)。各實施例並非僅限於此上下文。
各種實施例涉及光刻圖案化及對用於在襯底中製作特徵(例如裝置特徵或包括積體電路的特徵群組)的圖案化特徵的後續蝕刻。本文所公開的技術特別解決了與製作更小的圖案化特徵相關聯的問題,在所述更小的圖案化特徵中,各圖案化特徵可比在僅通過光學光刻而實現的排列中更緊密地包裝於一起。各種實施例還解決了與圖案定位及圖案對齊相關聯的問題。
本發明實施例提供對例如定向安置(directional deposition)、光致抗蝕劑修整(photoresist trim)、聚焦離子束修飾 (focused ion beam modification)、收縮蝕刻(shrink etch)及掩模蝕刻期間的錐形蝕刻(tapered etch during etch of mask)等已知技術的改良。在後一種技術中,特徵可在所有方向上收縮。應注意,若特徵是非對稱的,則在較長的尺寸上會存在更大的收縮。
根據各種實施例,一種多操作工藝包括沉積操作(例如共形沉積操作(conformal deposition operation)),其中所述沉積操作是在光刻界定的特徵(在本文中稱作“表面特徵”)上進行。此種沉積操作可在顯影的光致抗蝕劑特徵上進行,或作為另外一種選擇在形成於構成硬掩模的一部分或整個硬掩模的經蝕刻的膜中的特徵上進行,其中所述硬掩模最後將在目標材料中界定特徵。作為另外一種選擇,表面特徵可在襯底中包含最終材料,其中所述最終材料隨後不會被移除。
在後續操作中,可進行包括離子曝光(ion exposure)的定向蝕刻(directed etch)以通過能實現以下中的一者的方式來蝕刻表面特徵的至少一部分:(a)沿第一方向的尺寸減小而沿與第一方向正交的第二方向的尺寸不減小的特徵;(b)新特徵,其中所述新特徵在第一方向上的尺寸減小且在與第一方向正交的第二方向上的尺寸比原始表面特徵長;(c)在定位方面相對於其原始定位有所偏移的特徵。本文所用用語“尺寸”可指代特徵(例如表面特徵)沿給定方向的長度、寬度、深度或高度。在各種實施例中,除會從原始定位偏移以外,表面特徵的大小也可減小。根據某些實施例,在沉積操作中沉積的材料可為第一材料,所述第一材料不同於 用作掩模材料(即,在加工之前的圖案化特徵材料)的第二材料。
這些實施例的一個優點是使得在表面特徵的原始掩模材料充當蝕刻終止層(etch stop)的同時可利用僅對所沉積材料具有選擇性的蝕刻。此種選擇性可說明提高圖案化特徵的晶片內均勻性(within-wafer uniformity)及局部臨界尺寸均勻性(local critical dimension uniformity,LCDU)。在其他實施例中,在沉積操作中沉積的材料可與掩模材料(在加工之前的襯底特徵材料)相同。此後一種方式避免了當掩模是由多於一種材料構成時在向目標層進行最終蝕刻轉移期間的複雜問題。
在又一些附加實施例中,可在用於進行選擇性沉積的沉積腔室中使用可用技術在晶片(襯底)上可控地改變沉積過程。此種變化可實現以可控的可變方式對目標特徵的尺寸進行改變。例如,在襯底的不同部分上進行的多區段加熱(multi-zone heating)可實現此種結果。在後續操作中,如果進行均勻蝕刻,則可通過中間進行的選擇性沉積操作而減小或消除局部覆蓋誤差(overlay error)或臨界尺寸(critical dimension,CD)變化。
圖1A至圖1D繪示根據本發明各種實施例對襯底(例如裝置結構)進行的加工的一個實例。在圖1A中示出包括呈空腔102形式的表面特徵的襯底100的側剖視圖。在各種實施例中,空腔102可為通過已知技術而形成的光刻圖案化特徵。襯底(substrate)100包括襯底基底(substrate base)104,其中襯底基底104可由第一材料構成。如在某些實例中指出,襯底基底104可 為硬掩模材料、例如SiO2等材料或減反射塗層(anti-reflective coating,ARC)。已知減反射塗層材料的實例可包括矽、碳或其他材料組合。各實施例並非僅限於此上下文。可通過已知光刻技術將襯底100圖案化以形成空腔102。如以平面圖呈現的圖1C中所示,空腔102可具有例如適宜用於觸點或通孔的矩形形狀。圖1C中的說明是出於清晰的目的,且在其他實施例中所述空腔可呈包括彎曲形狀或複雜形狀在內的任何形狀。根據各種實施例,可在襯底基底104上形成層106,其中層106也沉積於空腔102內並對空腔102進行塗布。在某些實施例中,可以使得垂直表面(平行於Z軸)及水準表面(平行於X-Y平面)均被塗布的共形方式沉積層106。在各種實施例中,可將層106的層厚度選擇成使得所述層厚度小於最小尺寸(沿X軸)的近似一半。各實施例並非僅限於此上下文。可通過化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)或其他技術來沉積層106。各實施例並非僅限於此上下文。層106可包含與襯底基底104的第一材料不同的第二材料或者可包含與襯底基底104的第一材料相同的材料。例如,層106可為SiN、SiO2、矽減反射塗層(SiARC)、TiN。僅舉層106的材料不同於襯底基底104的一個實例,在所述基底為SiO2的同時所述層可為SiN。此種組合尤其使得襯底基底104能夠在具有SiN會相對於SiO2而受到選擇性蝕刻的適宜蝕刻化學品(etch chemistry)的情況下用作對層106進行的蝕刻的蝕刻終止 層。作為實例,空腔102可具有為10nm、20nm或50nm的尺寸,而層106的厚度則分別小於5nm、10nm或25nm。
根據各種實施例,在後續操作中,可如圖1A中所示在離子曝光中將被示作離子110的定向離子射出至襯底100。可以相對於襯底平面P的垂線120形成非零角度(θ)的入射角度射出離子110。根據各種實施例,角度θ可在5度與85度之間變化。各實施例並非僅限於此上下文。
離子110可因此衝擊至少一個側壁(在此種情形中被示作側壁108)。在各種實施例中,可在包含反應性混合物的離子曝光中提供離子110,其中所述反應性混合物對層106的層材料進行蝕刻。所述反應性混合物可有效地使層106的層材料揮發,從而使得材料被排出且不再如已知反應性離子工藝中一樣重新沉積於襯底100的或空腔102的其他部分上。對層106的層材料的蝕刻可尤其發生於襯底100的被離子110撞擊的區中。各種實施例擴展至使用對傳統反應性離子蝕刻(reactive ion etching,RIE)加工所使用的各種氣體混合物。因此,除以一個或多個所選入射角度將離子提供至襯底以外,還將襯底100同時暴露至反應性物質,其中所述反應性物質與入射離子一起產生對所述襯底的至少層106的反應性蝕刻。在反應性離子蝕刻加工行業中所通常使用的一種化學體系為混合有O2的CH3F。此種化學體系代表一種用於相對於SiO2或Si而選擇性地蝕刻SiN的已知系統。另一實例是使用CF4或C4F8來蝕刻SiO2。又一實例是使用Cl2系化學品來蝕刻TiN。 在其他實施例中,可根據層106的成分及襯底基底104的成分而應用任何已知的反應性離子蝕刻蝕刻配方(etch recipe)對層106進行蝕刻。在反應性離子蝕刻加工中使用此種化學體系會致使在經受反應性離子蝕刻等離子體的襯底上的所有材料的表面上發生兩個相互競爭的機制。第一個機制是對襯底的表面進行蝕刻,而第二個機制是在襯底表面上沉積碳系聚合物。在某些工藝條件下,聚合物沉積可當未經受離子轟擊(ion bombardment)時在襯底表面處有用地作為主導機制。應注意,由從反應性離子蝕刻等離子體提取的物質進行的能量離子轟擊可將聚合物打散並在材料表面處形成懸空鍵(dangling bond),從而使得對所述表面進行蝕刻變成主導機制。如所屬領域中的技術人員所應理解,也可根據欲被反應性蝕刻的材料而視需要使用許多其他化學體系來提供反應性離子蝕刻工藝。
在圖1A中所大體繪示的操作中,可將襯底100暴露至反應性不帶電物質124,其中所述反應性不帶電物質派生於用於產生反應性離子蝕刻等離子體的前驅物氣體組合物。反應性不帶電物質124可各向同性地到達襯底100,其中如圖1A中所示反應性不帶電物質124會撞擊襯底100的不同所暴露表面的每個部分。應注意,本發明實施例利用了對給定表面的蝕刻會在存在離子的情況下得到增強的已知反應性離子蝕刻加工的原理。應注意,根據本發明實施例,蝕刻可僅發生於襯底100的被定向離子撞擊的區(即,被離子110撞擊的區)中,而其他表面則不會被蝕刻。
現在轉至圖9A,其示出用於提供離子曝光(也示出於圖1A中)的示例性加工設備(被示作加工設備900)。加工設備900可為產生離子束(被示作離子110)的已知的緊湊式等離子體加工系統(compact plasma processing system)。可通過任何已知技術從在等離子體腔室902中產生的等離子體904提取所述離子束。加工設備900可包括具有提取開孔908的提取板906,其中離子110被作為離子束而從等離子體904提取並射出至襯底100。如圖9B中所示,提取開孔可沿Y軸伸長,從而提供沿平行於Y軸的方向在例如整個襯底之上延伸的帶狀離子束(ribbon ion beam)。在各種實施例中,襯底100可安置於襯底支座910上並沿X軸被掃描以在襯底100的不同區處或在整個襯底之上提供覆蓋。在其他實施例中,提取開孔908可具有例如正方形形狀或圓形形狀等不同形狀。
在某些實施例中,等離子體腔室902也可用作沉積工藝腔室以提供用於在蝕刻之前的沉積操作中沉積於襯底100上的材料。襯底支座910可進一步包括加熱器總成911,加熱器總成911用於在X-Y平面內的不同區中將襯底100選擇性地加熱至不同溫度以選擇性地改變如以上所論述的沉積材料的量。
在離子曝光期間,可在等離子體腔室902中提供或生成反應性物質且反應性物質也可碰撞襯底100。儘管各種非離子化反應性物質可碰撞包括空腔102中的不同表面在內的襯底100的所有表面,然而在被離子110撞擊的區域中可如已知反應性離子蝕 刻工藝中一樣發生蝕刻、而在未被離子110撞擊的區中則幾乎不會或根本不會發生蝕刻。因此,參照以下所論述的圖1C,可以第一速率來蝕刻被離子110撞擊的給定表面特徵(例如空腔102)的第一部分112,而以小於第一速率的第二速率來蝕刻未被離子撞擊的空腔102的第二部分114。在某些實例中,第二速率可為零或可比第一速率小得多。
結果,如圖1B及圖1D中所示,可沿圖1B中所示的被示作側壁108的右側壁發生對所沉積層(層106)的選擇性蝕刻。對層106進行沉積的沉積工藝及對層106的位於空腔102中的一部分的選擇性定向蝕刻使得空腔102的寬度沿X軸從寬度W1減小至寬度W2,且其長度也沿X軸減小。如圖1C中所進一步示出,可相對於X-Y平面沿第一方向(例如沿X軸)射出離子110。這樣一來,第一部分112可為空腔102的沿平行於Y軸的右側壁的區,原因是此區面對離子110且垂直於離子110的方向並且因此被安置成對離子110進行阻截。第二部分114可為空腔102的沿平行於X軸的側壁的區,原因是這些區可在離子平行於這些區的表面行進時幾乎不受到或根本不受到撞擊。第二部分114也可如圖1C中所示延伸至空腔102的沿左側壁的區,原因是此區相對於離子110被遮蔽。由於只有沿右側壁的層106會因離子110的方向(也參見圖1C來察看離子110在X-Y平面內的取向)而被移除,因此腔室102的沿平行於X軸的方向從W1至W2所減小的寬度可在此實例中對應於層106的厚度,而腔室102的沿平行於 Y軸的方向從L1至L2所減小的長度則對應於層106厚度的兩倍。
在各種實施例中,可在對層106進行的反應性蝕刻相對於對襯底基底104進行的蝕刻而言具有選擇性(其中襯底基底104為與層106的材料不同的材料)的曝光中射出離子110。舉例而言,層106可為光致抗蝕劑而襯底基底104為氧化物材料。因此,一旦自側壁108移除層106,蝕刻便可不再急劇減少。
因此,圖1A至圖1D中所概述的多操作工藝使得能夠選擇性地改變空腔102的尺寸,例如相對於第二尺寸而選擇性地改變第一尺寸(例如將沿Y軸的尺寸改變至與沿X軸的尺寸相比不同的程度)。
圖2A至圖2D繪示根據本發明實施例對襯底的加工的另一實例。在所示實例中,圖1A至圖1D中的約定可同樣適用,同時相同的參考編號指代相似的或相同的實體。在圖2A至圖2D中示出共形沉積工藝,其中所述共形沉積工藝可形成在進行定向蝕刻工藝(例如以下所論述的圖3A至圖3G中所繪示者)之前的初始操作。在圖2A及圖2B中,在基底204內設置具有空腔202的襯底200,在本例中,空腔202具有圓形形狀。在圖2C及圖2D中,如以上針對層106所大體闡述的一樣來沉積層206。層206的沉積可具有將腔室202的直徑從W1減小與圖中所示層206厚度的兩倍相等的量而變成W2的效果。
圖3A至圖3G繪示根據本發明又一些實施例對裝置結構的加工。為清晰起見,未在以下各圖中示出反應性不帶電物質124。 在圖3A及圖3B中示出在沉積層206之後的襯底200。現在轉至圖3C及圖3D,其示出對層106的選擇性蝕刻的實例,其中離子210形成平行於Y軸的軌跡並射出至空腔202的大體沿X軸取向的表面(包括相對的側壁部分),但離子210未必平行於Y軸。在此實例中,離子210平行於Y軸行進且因此不會在大體沿Y軸取向的區中撞擊層206,但會撞擊層206的更加平行於X軸取向的區。因此,所得結構不沿圖3C中所示垂直切面(平行於Y軸)具有層206的任何材料,而層206會至少部分地保留於空腔202的側壁的沿水準切面的部分中。在不同實施例中,視離子210的入射角度θ、空腔202的寬度及空腔202的高度(沿Z軸)而定,離子210可能會或可能不會撞擊空腔202的底部212。在圖3D及圖3F所示實例中,離子210不撞擊空腔202的底部212,從而使得層206保持完好,而在圖3E及圖3G所示實例中,離子210會撞擊空腔的底表面,從而移除層206。作為圖2至圖3所示操作的結果,空腔202的形狀及大小會從圓形形狀改變成細長形狀,例如如圖3C中所示的卵圓形形狀或橢圓形形狀。
在以下圖4A至圖8C中以平面圖繪示定向離子蝕刻工藝。應注意,在這些繪示中,各離子的軌跡相對於如圖1A中所示的垂線120形成非零角度。在圖4A及圖4B所示實例中,可擴展圖2及圖3中所繪示的操作,其中在移除層206之後繼續沿圖3D及圖3F中所示方向使用定向離子進行蝕刻。在這些實施例中,用於蝕刻層206的蝕刻物質(例如離子210)與其他反應性物質相結合也 可有效地蝕刻基底204,其中基底204可由基材(base material)製成,其中所述基材為與層206相同的材料或不同的材料。如圖4B中所示,形成更細長的卵圓形形狀,所述更細長的卵圓形形狀沿Y軸具有比空腔202的原始尺寸大的尺寸且沿X軸具有與所述原始尺寸相比更短的尺寸。在某些實例中,細長形狀的較大尺寸可為較短尺寸的兩倍或為較短尺寸的五倍。各實施例並非僅限於此上下文。
圖5A至圖5C示出根據本發明附加實施例對裝置結構的加工。在此實例中,設置具有溝槽502且具有基底504的襯底500,溝槽502沿X軸伸長且具有跑道形狀(racetrack shape)。在圖5A中,示出在進行沉積工藝以在溝槽502內沉積層506之後的結構。溝槽502的長度已沿X軸從原始長度L1減小了與層506的厚度的2倍相等的量(如由L2示出)。溝槽502的沿Y軸的寬度已從原始寬度W1減小相似的量而變成W2。如圖5B中所示,可通過朝端壁508且相對於基底504的襯底平面的垂線以非零入射角度θ(θ的進一步定義參見圖1A)射出離子503來蝕刻溝槽502。因此,可沿端壁508移除層506。作為對層506進行沉積及對溝槽502進行定向反應性蝕刻的結果,可在使溝槽502的沿X軸的長度恢復至原始長度L1的同時將溝槽寬度沿Y軸選擇性地減小至W2,原因是層506僅沿溝槽502的平行於離子503的某些部分餘留。為了便於在沉積層506之前將溝槽502的長度恢復至其原始長度L1,使用相對於基底504的材料而言對層506的材料提供高 程度的蝕刻選擇性的蝕刻化學品。這樣一來,當遇到基底504的基材時,所述蝕刻便可停止。
在圖5C所示實例中,可使用離子505蝕刻圖5A所示結構,其中離子505是以與圖5B所示工藝相似的方式射出至端壁508。在此實例中,可繼續進行使用離子505的蝕刻工藝來蝕刻基底504內的材料以使得溝槽502的長度L3大於其在沉積層506之前的原始長度L1。在某些變型中,在對基底504的離子蝕刻期間使用的物質的蝕刻組合物可相對於用於蝕刻層506的蝕刻組合物有所改變。作為另外一種選擇,被選擇用於對層506及基底504進行蝕刻的蝕刻組合物可為同一種組合物且可為使層506的蝕刻速率相似於基底504的蝕刻速率的相對非選擇性的蝕刻組合物。
圖6A至圖6F說明根據本發明再一些實施例對襯底的加工。在此實例中,在圖6A中,再次示出在形成層506之後的溝槽502,其中將包括沿X軸的長度的原始溝槽大小從L1減小至L2。在圖6B中,沿平行於X軸的軌跡僅向右端壁(也示作端壁508)射出離子603,使得在沉積層506之前保持右端壁的原始定位的同時溝槽502沿三個側具有減小的尺寸。在圖6C中,如以上針對圖5C所大體闡述般朝右端壁射出離子605以繼續蝕刻溝槽502,不同的是在此種情形中,僅如圖所示向右射出離子605。此種蝕刻工藝使得能夠形成沿Y軸具有較窄寬度W2且定位(位置)相對於圖6A中所示原始溝槽位置有所偏移的溝槽502。視在圖6C所示操作中進行的蝕刻的程度而定,溝槽的沿X軸的長度可大於原始 溝槽的L1或可如圖6C中所繪示與L1相等。因此,圖6C提供表面特徵位置(在此種情形中為溝槽位置)相對於沿X軸的一個定位發生偏移同時所述溝槽也沿Y軸選擇性地變窄的特定實例。
轉至圖6D,其示出在沉積層616之後具有為圓形形狀的空腔的襯底610。在圖6E中,示出當存在以下情形時空腔612的所得形狀:僅朝上(圖6E中)側壁615射出離子614而使得形成空腔612,在空腔612中,上側壁區的定位與在被沉積為層616之前相同,而空腔612的其他區的大小則減小,從而得到更呈卵圓形的形狀。如圖6F中所示,可由離子618沿與離子614相同的方向進行進一步蝕刻,其中離子618可相似於或不同於離子614。此種進一步蝕刻使得沿與圖6E中相同的方向在基底604中進行蝕刻,且可得到更細長的卵圓形形狀。
現在轉至圖7A及圖7B,其示出對空腔進行加工的又一實例,其中如上所述通過沉積層506來形成溝槽502。隨後,可沿X軸射出例如離子(例如離子603或離子603與離子605的組合)以僅蝕刻右端壁(在圖7B中被示作端壁508)。通過恰當選擇蝕刻條件及蝕刻時間,所得溝槽(被示作溝槽502)可在沉積層506之前具有相同的長度(被示作L1),而溝槽502的沿圖7B中的X軸的中心會相對於圖7A所示溝槽502向右偏移。此外,在圖7C中所示第二定向蝕刻操作中,可平行於Y軸向溝槽502的頂部邊緣704及底部邊緣706射出離子702。在某些實施例中,層506的材料可不同於基底504的材料,其中由離子702對層506進行的蝕 刻相對於對基底504進行的蝕刻具有高度選擇性。例如,可在反應性離子蝕刻工藝中提供離子702而以為蝕刻基底504的速率兩倍快的速率、五倍快的速率、十倍快的速率或者20倍快的速率來蝕刻層506。各實施例並非僅限於此上下文。這樣一來,可從底部邊緣706及頂部邊緣704完全移除層506,同時幾乎或根本不從這些邊緣移除任何來自基底504的材料。此種蝕刻使得能夠恢復原始溝槽寬度W1,原始溝槽寬度W1對應於在沉積層506之前的溝槽寬度。在圖7中示出這些工藝的最終結果,其中在保留溝槽502的原始尺寸的同時,如由圖7A中的虛曲線所示將溝槽502從在沉積層506之前的原始溝槽位置向右偏移。在各種實施例中,可在保留原始空腔尺寸的同時以與圖7A至圖7C所示操作相同的方式將任何空腔形狀的空腔位置從第一空腔位置偏移至第二空腔位置。
圖8A至圖8G說明根據本發明某些附加實施例對襯底進行加工的另一實例。在圖8A及圖8D所示實例中,設置具有柱802的襯底800,柱802在基底804上方延伸。柱802可為(但無需為)與基底804的材料不同的材料。在圖8B及圖8E中,如以上所大體闡述般沉積層806。在圖8C中,在兩個相反的方向810上朝柱802射出離子812(其中所述相反的方向810平行於如圖所示的Y軸),使得從大體上更沿X軸對齊的各個區移除層806(如在圖8F及圖8G所示切截面中所進一步示出)。因此,在可沿Y軸維持原始直徑D1的同時(如圖8B中所示),沿X軸將原始直徑D1增大至直徑D2(如圖8D中所示)。此種定向蝕刻使得柱802 的原始圓形形狀能夠轉變成如圖8C中所示的卵圓形形狀。
在又一些實施例中,可通過在X-Y平面內將襯底旋轉至任何所期望角度來進行定向離子蝕刻。因此,在向溝槽特徵射出的帶狀束的軸如圖9B中所示沿Y軸進行取向的同時,可對所述溝槽特徵進行取向以使其長軸相對於Y軸呈45度角。
在附加實施例中,可以反覆運算方式重複進行涉及以下步驟的操作:在表面特徵上沉積層、隨後如上所述對所述表面特徵進行選擇性定向蝕刻。給定迴圈可由以下步驟構成:沉積層、隨後沿給定方向對包括所沉積層的表面特徵進行蝕刻。可將此給定迴圈重複進行所期望次數以例如沿給定方向選擇性地調整特徵的尺寸、調整形狀或調整定位。
在附加實施例中,通過如圖9B中所大體示出例如沿X軸相對於離子束來掃描襯底,可在襯底上改變定向蝕刻以實現特定位置的定向蝕刻選擇性(location-specific directional selectivity of etching),從而可將所述襯底的某一區(例如區912)內的特徵改變至一種程度、而另一區(例如區914)中的特徵則不改變或者則被改變至另一程度或以不同方式改變。例如,當區912位於提取開孔908下方時可存在離子束(被示作離子110),而當區914位於提取開孔908下方時則熄滅所述離子束。
本發明實施例與傳統加工相比在襯底中界定特徵方面提供各種優點。當前,尚不存在任何能夠實現這些實施例中所述內容的已知技術,對於在製造環境中的整個晶片(full wafer)上來說尤 其如此。利用這些實施例可解決諸多問題,其中第一個優點是能夠在所期望方向上以所期望的量在襯底內使表面特徵偏移。本發明實施例還證明了可使特徵進行偏移並可保留或改變原始特徵形狀或尺寸的優點。本發明實施例的另一優點是能夠產生原本無法獲得的特徵尺寸及形狀。又一些優點包括提供以下能力:覆蓋修正(overlay correction)、覆蓋餘裕改良(overlay margin improvement)、使相鄰特徵之間的尖端對尖端間距(tip-to-tip separation)減小至原本無法獲得的尺寸、使根據本發明實施例而形成的結構的接觸電阻減小、增大圖案密度及消除掩模切割操作(cut-mask operation)。
本發明不受本文所述具體實施例的範圍限制。實際上,通過閱讀以上說明及附圖,除本文中所述實施例以外的本發明其他各種實施例及對本發明進行的潤飾將對所屬領域中的普通技術人員顯而易見。因此,此種其他實施例及潤飾旨在落於本發明的範圍內。此外,儘管本文中已在用於特定目的的特定環境中的特定實施方式的上下文中闡述了本發明,然而所屬領域中的普通技術人員將認識到其有用性並非僅限於此且本發明的實施例可有利地實作於用於任意數目的目的的任意數目的環境中。因此,必須慮及本文所述本發明的全部廣度及精神來解釋以上所述權利要求書。
100:襯底
102:空腔
104:襯底基底
106:層
110:離子
120:垂線
124:反應性不帶電物質
P:襯底平面
W1:寬度/原始寬度/原始溝槽寬度/直徑
X、Z:座標軸
θ:角度

Claims (15)

  1. 一種對襯底進行加工的方法,包括:在所述襯底上提供表面特徵,所述表面特徵包括特徵形狀、特徵位置及在襯底平面內沿第一方向的尺寸;在所述襯底特徵上沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於所述襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行反應性蝕刻,其中所述離子撞擊位於所述表面特徵的第一側壁部分上的所述層且不撞擊位於所述表面特徵的第二側壁部分上的所述層,所述第一側壁部分自所述襯底平面垂直地延伸,所述第二側壁部分自所述襯底平面垂直地延伸且沿所述第一方向延伸,且其中產生改變後的表面特徵,所述改變後的表面特徵在以下中的至少一個方面不同於所述表面特徵:沿所述第一方向的所述尺寸、所述特徵形狀或所述特徵位置。
  2. 如申請專利範圍第1項所述的對襯底進行加工的方法,其中所述襯底特徵包括空腔,所述空腔包括沿所述第一方向的第一尺寸及沿與所述第一方向垂直的第二方向的第二尺寸,且其中沉積所述層包括進行所述空腔的收縮,在所述空腔的收縮中所述第一尺寸及所述第二尺寸減小。
  3. 如申請專利範圍第2項所述的對襯底進行加工的方法,其中所述離子曝光包括沿所述第一方向將所述層蝕刻第一量並沿所述第二方向將所述層蝕刻第二量,所述第二量小於所述第一量。
  4. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中所述空腔在所述離子曝光之前包括圓形形狀且在所述離子曝光之後包括細長形狀。
  5. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中在進行所述蝕刻之後所述層材料被從所述空腔的底表面移除。
  6. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中所述空腔是在所述離子曝光之前形成於襯底材料內,且其中所述離子曝光包括沿所述第一方向蝕刻所述襯底材料以形成細長形狀,其中所述細長形狀包括沿所述第一方向的第三尺寸,所述第三尺寸大於所述第一尺寸。
  7. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中所述空腔在沉積所述層之前包括溝槽,其中所述第一尺寸大於所述第二尺寸,且其中在沉積所述層之後且在所述離子曝光之後,所述溝槽包括沿所述第二方向的第三尺寸,所述第三尺寸小於所述第一尺寸,且所述溝槽進一步包括沿所述第一方向的所述第一尺寸。
  8. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中所述空腔在沉積所述層之前包括溝槽,其中所述第一尺寸大 於所述第二尺寸,且其中在沉積所述層之後且在所述離子曝光之後,所述溝槽包括沿所述第二方向的第三尺寸,所述第三尺寸小於所述第一尺寸,且所述溝槽進一步包括沿所述第一方向的第四尺寸,所述第四尺寸大於所述第一尺寸。
  9. 如申請專利範圍第3項所述的對襯底進行加工的方法,其中所述空腔的位置從沉積所述層之前在所述襯底內的第一位置偏移至在所述離子曝光之後在所述襯底內的第二位置。
  10. 如申請專利範圍第1項所述的對襯底進行加工的方法,其中所述表面特徵在所述襯底平面上方延伸,其中在沉積所述層之前,所述表面特徵包括沿所述第一方向的第一特徵尺寸及沿與所述第一方向垂直的第二方向的第二特徵尺寸,且其中沉積所述層包括將所述第一特徵尺寸增大至第三特徵尺寸且將所述第二特徵尺寸增大至第四特徵尺寸,且其中所述離子曝光包括將所述第三特徵尺寸減小至比所述第四特徵尺寸小的第五特徵尺寸。
  11. 如申請專利範圍第1項所述的對襯底進行加工的方法,其中所述離子是以帶狀離子束的形式射出並具有平行於所述第一方向的軌跡。
  12. 如申請專利範圍第1項所述的對襯底進行加工的方法,其中沉積所述層包括在所述襯底上選擇性地沉積所述層,其中所述層包括在所述襯底的第一區之上的第一厚度且包括在所述襯底的第二區之上的與所述第一厚度不同的第二厚度,其中在沉積所 述層之後,所述表面特徵沿所述第一方向的尺寸在所述第一區中改變了第一量且在所述第二區中改變了第二量。
  13. 一種對襯底進行加工的方法,包括:在所述襯底中提供空腔,所述空腔具有在襯底平面內沿第一方向的第一尺寸及在所述襯底平面內沿第二方向的第二尺寸,所述第二方向垂直於所述第一方向;在所述空腔內沉積包含層材料的層;以及在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於所述襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行選擇性蝕刻,其中所述離子撞擊所述空腔的第一側壁部分且不撞擊所述空腔的第二側壁部分,所述第一側壁部分自所述襯底平面垂直地延伸,所述第二側壁部分自所述襯底平面垂直地延伸且沿所述第一方向延伸,且其中所述第一尺寸相對於所述第二尺寸被選擇性地改變。
  14. 如申請專利範圍第13項所述的對襯底進行加工的方法,其中所述第一尺寸減小而所述第二尺寸不減小。
  15. 一種對襯底進行加工的方法,包括:在所述襯底中提供空腔,所述空腔安置於所述襯底內的第一空腔位置處;在所述空腔內沉積包含層材料的層;以及 在離子曝光中以入射角度朝所述襯底射出離子,所述入射角度相對於襯底平面的垂線形成非零角度,其中所述離子曝光包含所述離子及反應性不帶電物質,所述離子曝光對所述層材料進行選擇性蝕刻,其中所述離子撞擊所述空腔的第一側壁部分且不撞擊所述空腔的第二側壁部分,所述第一側壁部分自所述襯底平面垂直地延伸,所述第二側壁部分自所述襯底平面垂直地延伸且沿第一方向延伸,且其中在所述離子曝光之後所述空腔安置於所述襯底中的第二空腔位置處。
TW106107457A 2016-03-08 2017-03-08 對襯底進行加工的方法 TWI719156B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662305308P 2016-03-08 2016-03-08
US62/305,308 2016-03-08
US15/142,526 US9984889B2 (en) 2016-03-08 2016-04-29 Techniques for manipulating patterned features using ions
US15/142,526 2016-04-29

Publications (2)

Publication Number Publication Date
TW201801176A TW201801176A (zh) 2018-01-01
TWI719156B true TWI719156B (zh) 2021-02-21

Family

ID=59787955

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106107457A TWI719156B (zh) 2016-03-08 2017-03-08 對襯底進行加工的方法
TW110100902A TWI825380B (zh) 2016-03-08 2017-03-08 對襯底進行加工的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110100902A TWI825380B (zh) 2016-03-08 2017-03-08 對襯底進行加工的方法

Country Status (6)

Country Link
US (2) US9984889B2 (zh)
JP (1) JP6983796B2 (zh)
KR (2) KR102483418B1 (zh)
CN (1) CN108780740B (zh)
TW (2) TWI719156B (zh)
WO (1) WO2017155872A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9984889B2 (en) * 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
US10658184B2 (en) * 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
US10529617B2 (en) 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US10312089B1 (en) * 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10629437B2 (en) * 2018-05-09 2020-04-21 Varian Semiconductor Equipment Associates, Inc. Techniques and structure for forming dynamic random-access device using angled ions
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions
US11127593B2 (en) 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US11640909B2 (en) * 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
US10777414B1 (en) 2019-03-14 2020-09-15 Applied Materials, Inc. Methods for reducing transfer pattern defects in a semiconductor device
US11978640B2 (en) * 2021-04-09 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017817A1 (en) * 2012-07-11 2014-01-16 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US20150083581A1 (en) * 2013-09-26 2015-03-26 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
US4680085A (en) 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
JP2757838B2 (ja) 1995-10-25 1998-05-25 日本電気株式会社 半導体装置の製造方法
US6414366B1 (en) 1998-07-29 2002-07-02 Tdk Corporation Thin-film magnetic head wafer and manufacturing method of thin-film magnetic head
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
MXPA01009486A (es) 1999-03-31 2002-08-06 Cray Valley Sa Composiciones de resina termoendurecibles que comprenden microparticulas reticuladas reactivas con resistencia mecanica mejorada.
US6787052B1 (en) 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
DE10115912A1 (de) * 2001-03-30 2002-10-17 Infineon Technologies Ag Verfahren zur Herstellung einer Halbleiteranordnung und Verwendung einer Ionenstrahlanlage zur Durchführung des Verfahrens
JP4054325B2 (ja) 2004-08-11 2008-02-27 日本電信電話株式会社 半導体素子の作製方法及び半導体素子
US20080002749A1 (en) 2004-09-29 2008-01-03 California Institute Of Technology Material processing method for semiconductor lasers
KR100708530B1 (ko) 2004-12-31 2007-04-16 동부일렉트로닉스 주식회사 얕은 트랜치 소자 분리막 공정 중 디봇 형상 방지방법
JP4867171B2 (ja) 2005-01-21 2012-02-01 富士電機株式会社 半導体装置の製造方法
US7696102B2 (en) 2005-03-31 2010-04-13 Gang Zhang Methods for fabrication of three-dimensional structures
US20070051622A1 (en) 2005-09-02 2007-03-08 Applied Materials, Inc. Simultaneous ion milling and sputter deposition
US7790621B2 (en) 2006-02-23 2010-09-07 Sophia Wen Ion implantation for increasing etch rate differential between adjacent materials
CN101507062B (zh) 2006-07-18 2010-12-22 宾奥普迪克斯股份有限公司 具有燕尾形突脊的AlGaInN基激光器
US7892928B2 (en) 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers
US8652763B2 (en) 2007-07-16 2014-02-18 The Board Of Trustees Of The University Of Illinois Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US20090084757A1 (en) 2007-09-28 2009-04-02 Yuri Erokhin Uniformity control for ion beam assisted etching
US8222154B2 (en) * 2009-02-10 2012-07-17 International Business Machines Corporation Fin and finFET formation by angled ion implantation
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8101510B2 (en) 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US8192641B2 (en) 2009-07-23 2012-06-05 GlobalFoundries, Inc. Methods for fabricating non-planar electronic devices having sidewall spacers formed adjacent selected surfaces
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
US8089050B2 (en) 2009-11-19 2012-01-03 Twin Creeks Technologies, Inc. Method and apparatus for modifying a ribbon-shaped ion beam
US8421139B2 (en) 2010-04-07 2013-04-16 International Business Machines Corporation Structure and method to integrate embedded DRAM with finfet
CN102915999B (zh) * 2011-08-03 2016-08-03 无锡华润上华半导体有限公司 沟槽多晶硅过腐蚀台阶测试图形及其形成方法
US8288741B1 (en) 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US8339752B1 (en) 2011-09-26 2012-12-25 Hitachi Global Storage Technologies Netherlands B.V. Magnetic head with wide sensor back edge, low resistance, and high signal to-noise ratio and methods of production thereof
WO2013177576A1 (en) 2012-05-25 2013-11-28 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
US8637365B2 (en) 2012-06-06 2014-01-28 International Business Machines Corporation Spacer isolation in deep trench
CN103578921A (zh) * 2012-08-10 2014-02-12 南亚科技股份有限公司 图案化工艺
US9190498B2 (en) 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
US9287178B2 (en) 2012-10-01 2016-03-15 Globalfoundries Inc. Multi-gate field effect transistor (FET) including isolated fin body
WO2014110379A1 (en) 2013-01-11 2014-07-17 Fei Company Ion implantation to alter etch rate
JP6271235B2 (ja) * 2013-01-24 2018-01-31 キヤノンアネルバ株式会社 フィンfetの製造方法およびデバイスの製造方法
US20140357080A1 (en) 2013-06-04 2014-12-04 Tokyo Electron Limited Method for preferential shrink and bias control in contact shrink etch
KR101509529B1 (ko) 2013-07-31 2015-04-07 아주대학교산학협력단 3차원 형태의 구리 나노구조물 및 그 형성 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
CN105097532A (zh) * 2014-05-09 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9336998B2 (en) * 2014-05-09 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for dynamic control of ion beam energy and angle
US9984889B2 (en) * 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017817A1 (en) * 2012-07-11 2014-01-16 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US20150083581A1 (en) * 2013-09-26 2015-03-26 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching

Also Published As

Publication number Publication date
KR102483418B1 (ko) 2022-12-30
CN108780740B (zh) 2023-12-05
KR20180114207A (ko) 2018-10-17
TWI825380B (zh) 2023-12-11
TW201801176A (zh) 2018-01-01
JP6983796B2 (ja) 2021-12-22
US20180261463A1 (en) 2018-09-13
US20170263460A1 (en) 2017-09-14
KR102365485B1 (ko) 2022-02-21
US10381232B2 (en) 2019-08-13
CN108780740A (zh) 2018-11-09
WO2017155872A1 (en) 2017-09-14
US9984889B2 (en) 2018-05-29
TW202127541A (zh) 2021-07-16
JP2019510373A (ja) 2019-04-11
KR20220025931A (ko) 2022-03-03

Similar Documents

Publication Publication Date Title
TWI719156B (zh) 對襯底進行加工的方法
US10971368B2 (en) Techniques for processing substrates using directional reactive ion etching
TWI545622B (zh) 藉由交叉多重圖案化層以增加圖案密度的方法
JP7170860B2 (ja) 角度付き構造を形成するための技術
JP7142695B2 (ja) 半導体デバイスおよびその製造方法
KR102408866B1 (ko) 기판을 패턴화하기 위한 구조체들을 형성하기 위한 방법, 기판을 패턴화하는 방법, 및 마스크를 형성하는 방법
KR102363138B1 (ko) 기판 및 그 위에 배치된 층을 패턴화하는 방법 및 디바이스 구조체를 형성하는 방법
TW202008431A (zh) 用以圖案化三維結構的方法及裝置處理方法與設備
TW202224016A (zh) 利用氣體團簇離子束的圖案增強
US20240136197A1 (en) Modifying patterned features using a directional etch
CN117174583B (zh) 半导体结构及其制备方法
KR20090016843A (ko) 반도체 소자 제조 방법