TWI696275B - 記憶體元件及其製作方法 - Google Patents

記憶體元件及其製作方法 Download PDF

Info

Publication number
TWI696275B
TWI696275B TW107134159A TW107134159A TWI696275B TW I696275 B TWI696275 B TW I696275B TW 107134159 A TW107134159 A TW 107134159A TW 107134159 A TW107134159 A TW 107134159A TW I696275 B TWI696275 B TW I696275B
Authority
TW
Taiwan
Prior art keywords
memory cell
lines
conductive
stack structure
memory
Prior art date
Application number
TW107134159A
Other languages
English (en)
Other versions
TW201931577A (zh
Inventor
呂函庭
Original Assignee
旺宏電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 旺宏電子股份有限公司 filed Critical 旺宏電子股份有限公司
Publication of TW201931577A publication Critical patent/TW201931577A/zh
Application granted granted Critical
Publication of TWI696275B publication Critical patent/TWI696275B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4097Bit-line organisation, e.g. bit-line layout, folded bit lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/54Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5671Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge trapping in an insulator
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • G11C16/0416Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing a single floating gate transistor and no select transistor, e.g. UV EPROM
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/18Bit line organisation; Bit line lay-out
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Neurology (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種記憶體元件,包括用於執行積項和操作的一立體記憶胞陣列,立體記憶胞陣列中的複數個記憶胞設置在複數條垂直線與複數條水平線的交叉點上,這些記憶胞具有複數個可寫入電導。一閘極驅動器,耦接至多條閘極線,用來施加控制閘極電壓,以結合記憶胞的可寫入電導來對應積項和操作中的複數個乘積項的權重Wxyz。一輸入驅動器,用來施加複數個電壓至立體記憶胞陣列中的記憶胞,以對應複數個輸入變數Xy。一感測電路感測來自立體記憶胞陣列中的記憶胞的電流總合,以對應積項和。

Description

記憶體元件及其製作方法
本說明書是有關於一種可以用於執行積項和(sum-of-products)操作的電路。
在神經形態工程學(neuromorphic computing systems)、機器學習系統(machine learning systems)以及用於某一些以線性代數為基礎之式運算的電路中,積項和函數可能是一個重要的組成部分。此函數可以用算式表示如下:
Figure 107134159-A0305-02-0004-1
此算式中,每一個乘積項是一個輸入變數Xi與一個權重Wi二者的乘積。其中,權重Wi在這些乘積項(terms)中是可變化的,例如權重Wi可以對應輸入變數Xi的係數改變而產生變化。
積項和函數可以被理解為一種使用交叉點陣列架構(cross-point array architectures)的電路操作。其中陣列架構中多個記憶胞的電子特性(electrical characteristics)可以實現此函數。
在高速運算中實施,需要有一個非常大的陣列,以使多個操作可以被平行地執行,或者可以對非常大的積項和級數(sum-of-products series)進行運算。
因此有需要提供一種適用於在大型陣列中實施積項和操作的結構。
一種記憶體元件,包括用於執行積項和操作的立體記憶胞陣列(3D array of cells),立體記憶胞陣列中的記憶胞設置在複數條垂直線與複數條水平線的交叉點上,記憶胞具有可寫入電導(programmable conductances)。其中記憶胞可以用設置在複數條垂直線與複數條水平線的交叉點上的複數個電荷儲存結構(charge storage structures)來實現。一閘極驅動器(gate driver)可以被耦接至複數條閘極線,用來施加控制閘極電壓(control gate voltages),以結合記憶胞的可寫入電導來對應積項和操作中的複數個乘積項的權重Wxyz。一輸入驅動器(input driver),用來施加複數個電壓至立體記憶胞陣列中的記憶胞,以對應複數個輸入變數Xy。一感測電路(sensing circuit)感測來自立體記憶胞陣列中的記憶胞的電流總和(sum-of-currents),以對應積項和。
本文所述的實施例中,複數條垂直線與複數條水平線的其中一者可以包括複數條記憶胞本體線(cell body lines),複數條垂直線與複數條水平線的另一者可以包括複數條閘極線。每一條記憶胞本 體線包括沿著記憶胞本體線平行延伸的第一導線和第二導線以及複數個記憶胞本體(cell bodies)。其中,記憶胞本體包括位於記憶胞本體線和閘極線的交叉點上的複數個電荷儲存結構。這些記憶胞本體連接在第一導線與第二導線之間,且配置來作為複數個第一源/汲極端、複數個第二源/汲極端與立體記憶胞陣列中的記憶胞的複數個通道。每一條閘極線包括配置來作為立體記憶胞陣列中的記憶胞之一者的控制閘極(control gates)的導體,鄰接位於這些閘極線和記憶胞本體線的交叉點上的多個電荷儲存結構。
複數條輸入線係連接至這些記憶胞本體線中的複數條第一導線。複數條輸出線係連接至這些記憶胞本體線中的複數條第二導線。
一閘極驅動器係耦接至這些閘極線,用來施加複數個控制閘極電壓,以結合記憶胞中複數個電荷儲存結構的電荷,對應積項和操作中的複數個乘積項的權重Wxyz,以響應位址信號來選取立體記憶胞陣列中的多個記憶胞來作為積項和操作中的複數個乘積項。
一輸入驅動器係耦接至複數條輸入線,用來施加複數個電壓以對應複數個輸入變數Xy。一感測電路係耦接至複數條輸出線,以感測這些輸出線中之一組輸出線的電流總和。
立體記憶胞陣列可以包括數目為X的輸入線,和位於數目為Z的記憶胞階層的每一者中數目為Y的閘極線。每一個記憶胞堆疊結構(stack of cells)可以耦接至複數條輸入線的其中之 一和複數條輸出線的其中之一。閘極線可以設置在Z層記憶胞階層的每一者中,使每一個記憶胞堆疊結構包括Z個記憶胞,平行排列在複數條輸入線的其中一者與複數條輸出線的其中一者之間。
記憶胞本體線可以包括複數個半導體條帶(semiconductor strips),其具有配置來作為第一導線的一第一導電摻雜區(conductively doped region)、配置來作為第二導線的一第二導電摻雜區、以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。第三區具有記憶胞的通道的摻雜輪廓(doping profile)。
立體記憶胞陣列可以包括複數個隔離結構,位於複數個記憶胞堆疊結構之間的多個溝槽中,且位於溝槽中的複數條垂直線中的多條垂直線之間。
在一實施例中,複數條垂直線中的多條垂直線係多條記憶胞本體線。這些閘極線包括藉由複數個溝槽所隔離的複數個導電條帶堆疊結構(stacks of conductive strips),且這些記憶胞本體線係垂直地設置在這些溝槽之中。記憶胞本體線包括垂直地設置在溝槽中的複數條半導體條帶,半導體條帶具有配置來作為第一導線的一第一導電摻雜區、配置來作為第二導線的一第二導電摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。第三區具有記憶胞的通道的摻雜輪廓。
在另一實施例中,複數個垂直線中的多個垂直線係複數條閘極線。這些記憶胞本體線包括藉由多個溝槽所隔離的複數個半導 體條帶堆疊結構(stacks of semiconductor strips),這些半導體條帶具有配置來作為第一導線的一第一導電摻雜區、配置來作為第二導線的一第二導電摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。第三區具有記憶胞的通道的摻雜輪廓。這些閘極線包括垂直地設置在溝槽中的複數個導電條帶。
本文所述的記憶體元件可以包括一記憶胞陣列(array of cells),具有數目為X的列、數目為Y的行以及數目為Z的記憶胞階層,記憶胞陣列中的每一個記憶胞包括具有可寫入電導的一電晶體。這些記憶體元件可以包括多條閘極線,沿著Y個行排列,對應Z個記憶胞階層中的多個記憶胞階層,耦接至對應記憶胞階層中的各行中的記憶胞。輸入線沿著X列排列並覆蓋記憶胞陣列,且輸入線也覆蓋記憶胞陣列。
此些記憶體元件中的記憶胞堆疊結構包括位於記憶胞陣列的Z個記憶胞階層給定的(y)行與給定的(x)列中的多個記憶胞,其中記憶胞陣列係沿著一第一垂直導線與一第二垂直導線設置;第一垂直導線係連接至位於給定的(x)列上的一對應輸入線,第二垂直導線係連接至一輸出線。在本實施例中,記憶胞堆疊結構(在x列、y行、z=0~Z-1)的記憶胞中的電晶體係平行地電性耦接在對應的第一垂直導線與第二垂直導線之間。
首次提到的第一記憶胞堆疊結構,可以被設置在複數個導電條帶堆疊結構中的一特定導電條帶堆疊結構的第一側壁上。第二記憶胞堆疊結構可以被設置在記憶胞陣列的Z個記憶胞階層中給定的 (y)行與給定的(x+1)列中,第二記憶胞堆疊結構係設置在特定導電條帶堆疊結構的第二側壁上。第一記憶胞堆疊結構可以沿著特定導電條帶堆疊結構中導電條帶的延伸方向偏離第二記憶胞堆疊結構。
此些記憶體元件中的一感測電路耦接至多條輸出線。在特定導電條帶堆疊結構y行和x列上的電流,代表施加在耦接於特定導電條帶堆疊結構之x列上的輸入線的輸入值X(x)的積項和乘以位於特定導電條帶堆疊結構之Z層記憶胞層中的記憶胞各自的權重因數(weight factors)W(x,y,z)。對於耦接至導電條帶堆疊結構的輸出線來說,輸出線上的電流代表包含此特定導電條帶堆疊結構的複數個導電條帶堆疊結構上的電流總和。
前述記憶體元件可以在非常大的記憶胞陣列中實施,其包括藉由多條溝槽所隔離的複數個導電條帶堆疊結構,每一個導電條帶堆疊結構具有一第一側壁與一第二側壁。可寫入電導可以藉由設置在導電條帶堆疊結構的第一側壁與第二側壁上的複數個電荷儲存結構來實現。
本說明書揭露一種製造神經形態記憶體元件(neuromorphic memory device)的方法。
揭露用於記憶體與人工智慧(artificial intelligence,AI)應用的一種立體可堆疊NOR快閃記憶體架構(3D stackable NOR Flash architecture),其包括用於執行積項和操作的立體記憶胞陣列,立體記憶胞陣列中的記憶胞設置在複數條垂直線與複數條水平線的交叉點上,且記憶胞具有可寫入電導,其可以使用設置在複數條垂直線與 複數條水平線的交叉點上的複數個電荷儲存結構(charge storage structures)來實現。一閘極驅動器,可以耦接至多條閘極線,用以施加控制閘極電壓,並結合記憶胞的可寫入電導以對應積項和操作中的多個乘積項的權重Wxyz。一輸入驅動器,用以施加電壓至記憶胞陣列中的多個記憶胞,以對應輸入變數Xy。一感測電路感測來自立體記憶胞陣列中的記憶胞的電流總和。此電流總和對應積項和。複數條輸入線連接至輸入驅動器,且複數條輸出線連接至感測電路,其中複數條輸出線係與複數條輸入線係直交排列。
在本說明書所述的實施例中,複數條垂直線與複數條水平線的其中一者,可以包括複數條記憶胞本體線,複數條垂直線與複數條水平線的另一者,可以包括複數條閘極線。每一條記憶胞本體線包括彼此平行的一第一導線和一第二導線以及複數個記憶胞本體。第一導線和第二導線沿著記憶胞本體線延伸。記憶胞本體包括位於記憶胞本體線與閘極線的複數個交叉點上的複數個電荷儲存結構。這些記憶胞本體連接在第一導線和第二導線之間,且配置來作為第一源/汲極端、第二源/汲極端以及立體記憶胞陣列中記憶胞的通道。每一條閘極線包括配置來作為立體記憶胞陣列中的記憶胞的控制閘極的一導體,且鄰接於閘極線與記憶胞本體線的交叉點上的電荷儲存結構。
在立體可堆疊NOR快閃記憶體架構的實施例中,複數條輸入線中的輸入線係連接至記憶胞堆疊結構中沿著一行方向上的各行記憶胞本體線的第一導線。複數條輸出線中的輸出線係連接至記憶胞 堆疊結構中沿著一列方向上的各列記憶胞本體線中的第二導線,其中列方向直交於行方向。
記憶胞本體線可以包括多個半導體條帶,其具有配置來作為第一導線的一第一導電摻雜區、配置來作為第二導線的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區。第三區具有記憶胞的通道的摻雜輪廓。
為了讓本發明之其他方面及優點更明顯易懂,特舉出下述的附圖、詳細的說明書與申請專利範圍來進行說明。
110、120、130、140、2410、2420、2430、2440:導電條帶堆疊結構
310、320、510:導電條帶
115、125、135、2415、2425、2435:溝槽
111、121、1111a、1113a、2411、2421:第一側壁
112、122、1111b、1113b、2412、2422:第二側壁
160、1511、1512、1521、1522、2460:記憶胞
161、351、352、2461:電荷儲存結構
191、1301:第一層間連接器
192、1302:第二層間連接器
210:第一記憶胞
220:第二記憶胞
225:導電條帶堆疊結構
230:第三記憶胞
311、321:第一垂直導線
312、322:第二垂直導線
313、323、1111C、1113C:第三區
331、1111DP:第一導電單元
332、1111SP:第二導電單元
340、1190:隔離結構
360、520:絕緣條(insulating strips)
411、412、1940:閘極驅動器
420:輸入驅動器
430:感測電路
610:材料層
710:半導體材料層
810:半導體薄膜
910:絕緣體
1010:半導體材料
1110a:第一半導體條帶
1110b:第二半導體條帶
1111、1113:島(island)
1111D、1111D2、1113D:第一導線
1111S、1111S2、1113S:第二導線
1120:偏離
1410:形成藉由多個溝槽所隔離的複數個導電條帶堆疊結構,使每一個導電條帶堆疊結構具有一第一側壁與一第二側壁
1420:在導電條帶堆疊結構的第一側壁與第二側壁上形成用來作為資料儲存結構的材料層
1430:形成半導體薄膜,使其與用來作為資料儲存結構的材料層接觸
1440:在溝槽中的半導體薄膜之間填充絕緣體
1450:進行回蝕,並使用位於絕緣體上方的半導體材料來填充凹部,且連接位於第一導電條帶堆疊結構的第一側壁上的半導體薄膜與第二導電條帶堆疊結構第二側壁上的半導體薄膜
1460:蝕刻開孔穿過用來作為資料儲存結構的材料層、半導體薄膜、隔離結構、隔離結構上的半導體材料,以在第一導電條帶堆疊結構和第二導電條帶堆疊結構之間形成多個島
1470:摻雜多個島的第一側壁與第二側壁上的第一半導體條帶與第二半導體條帶,以形成第一導電摻雜區、第二導電摻雜區與位於第一導電摻雜區和第二導電摻雜區之間的第三區
1480:形成複數條輸入線,連接至記憶胞本體線中的第一導線
1490:形成複數條輸出線,連接至多憶胞本體線中的第二導線
1450、1460、1470、1480、1490:步驟
1501:讀電流
1521C:通道
1551、1552、1553、1554:電流
1900:積體電路裝置
1905:資料匯流排
1910:控制邏輯
1920:偏壓配置供給電壓
1930、1953、1985、1993:匯流排
1945、WL(y,z-1)、WL(y,z)、WL(y,z+1)、WL(y+1,z-1)、WL(y+1,z)、WL(y+1,z+1)、WL(y+2,z-1)、WL(y+2,z)、WL(y+2,z+1)、WL(y+3,z-1)、WL(y+3,z)、WL(y+3,z+1):閘極線
1950:感測電路
1955、SL(1)、SL(2)、SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2):輸出線
1960、2300、2400、2500、2600:立體記憶胞陣列
1965、BL(1)、BL(2)、BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2):輸入線
1970:輸入驅動器
1975:線路
1980:感測放大器
1990:緩衝電路
1991:輸入/輸出電路
d:厚度
Lg:通道長度
Tsi:通道厚度
W:通道寬度
x、x+1、x+2、x+3:列
X-pitch:X-間距
Y-pitch:Y-間距
第1圖係繪示一種立體記憶體元件的透視圖,包括一立體記憶胞陣列,用於執行立體可堆疊AND快閃記憶體架構中的積項和操作。
第2圖係繪示第1圖所示立體記憶胞陣列中的4行4列的16個記憶胞堆疊結構的布局視圖(layout view)。
第2A圖係繪示第1圖所示的立體記憶體元件的例示設計規範(example design rule)。
第3圖係繪示位於相鄰二記憶胞堆疊結構中多個記憶胞的結構放大圖。其中這些記憶胞係設置在相鄰二記憶胞堆疊結構之間的一溝槽或開口的側壁上。
第4圖係繪示4行16列的64個記憶胞以及耦接至複數條輸出線的一感測電路的布局視圖。
第5至13圖係繪示製造包括用於執行積項和操作的立體記憶胞陣列的記憶體元件的製程結構透視圖。
第14A圖與第14B圖係繪示製造包括用於執行積項和操作的立體記憶胞陣列的記憶體元件流程圖。
第15圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於進行積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一積項和操作範例。
第16圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於進行積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一讀取操作範例。
第17圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一寫入操作(program operation)範例。
第18圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一抹除操作範例。
第19圖係繪示包括用於執行積項和操作的立體記憶胞陣列的積體電路元件的一種簡化晶片方塊圖。
第20圖係繪示用於執行積項和操作的立體記憶胞陣列中的記憶胞的Id-Vg特性圖。
第21圖係繪示用於執行積項和操作的立體記憶胞陣列中的記憶胞的Id-Vd特性圖。
第22圖係繪示用於執行積項和操作的立體記憶胞陣列的電導分佈估計圖。
第23圖係根據本說明書的一第二實施例所繪示的立體可堆疊AND快閃記憶體架構。
第24圖係根據本說明書的一第三實施例所繪示的立體可堆疊AND快閃記憶體架構。
第25圖係根據本說明書的一第四實施例所繪示的立體可堆疊AND快閃記憶體架構。
第26圖係根據本說明書的一第五實施例所繪示的立體可堆疊NOR快閃記憶體架構。
以下敘述僅係參照特定的結構實施例與方法的例示。必須理解的是,其並無意圖將本發明限定為具體揭露的實施例與方法,其他特徵、元件、方法與實施例仍可用來實現本發明。所述的較佳實施例係以說明本發明的技術內容,並非用以限定本發明之保護範圍,其當視申請專利範圍所界定者為準。所屬技術領域中具有通常知識者可根據如下所述的說明書來作各種等效變化。
第1圖係繪示一種立體記憶體元件的透視圖,包括一立體記憶胞陣列100,用於執行立體可堆疊AND快閃記憶體架構。
位於立體記憶胞陣列100中的多個記憶胞(例如記憶胞160)係設置在複數條垂直線與複數條水平線的交叉點上。這些記憶胞具有可寫入電導,使用設置在複數條垂直線與複數條水平線的交叉點 上的複數個電荷儲存結構161來實現。可寫入電導也可以使用其他類型的記憶體技術來實現。複數條垂直線與複數條水平線的其中一者可以包括多條記憶胞本體線,複數條垂直線與複數條水平線的另一者可以包括多條閘極線(例如閘極線WL(y,z-1)、WL(y,z)、WL(y,z+1))。在本實施例中,這些垂直線即是記憶胞本體線。
在本實施例中,每一條記憶胞本體線包括沿著這些記憶胞本體線平行延伸的第一導線與第二導線(例如第一導線1111D與第二導線1111S)。複數個記憶胞本體係設置在記憶胞本體線與閘極線的複數個交叉點上。記憶胞本體係連接在第一導線與第二導線之間,且配置來作為立體記憶胞陣列的記憶胞位於第一導線和第二導線之中的第一源/汲極端和第二源/汲極端,以及配置來作為立體記憶胞陣列位於第一導線與第二導線之間的一第三區(例如第三區1111C)中的記憶胞的通道。每一條閘極線,包括配置來作為立體記憶胞陣列中的記憶胞控制閘極的一導體,且鄰接位於閘極線與記憶胞本體線的交叉點上的電荷儲存結構。
複數條輸入線(例如輸入線BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2))連接至記憶胞本體線中的多條第一導線(例如第一導線1111D與1113D)。複數條輸出線(例如輸出線SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2))連接至記憶胞本體線中的多條第二導線(例如第二導線1111S與1113S)。第一層間連接器(interlayer connectors)(例如第一層間連接器191)可以將輸入線(例如輸入線BLodd(2))連接至記憶胞本體線中的第一導線,且第二層間連接器(例 如第二層間連接器192)可以將輸出線(例如輸出線SLodd(2))連接至記憶胞本體線中的第二導線。
一般而言,立體記憶胞陣列可以包括數目為X的輸入線、位於記憶胞Z層的每一記憶胞階層中數目為Y的閘極線。因此,記憶胞堆疊結構耦接至其中一條輸入線(例如輸入線BLeven(2))、耦接至Z階層中的每一記憶胞階層中的多條閘極線(例如閘極線WL(y,z-1)、WL(y,z)、WL(y,z+1)),且包括Z個相互平行的記憶胞位於其中一條輸入線與其中一條輸出線之間。對於積項和操作的執行而言,一個記憶胞堆疊結構中的電流,係積項和的輸入值X(y)乘以此記憶胞堆疊結構中的Z個記憶胞的權重W(xyz)。
記憶胞本體線包括多個半導體條帶,其具有配置來作為第一導線(例如第一導線1111D與第一導線1113D)的一第一導電摻雜區、配置來作為第二導線(例如第二導線1111S與第二導線1113S)的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區(例如第三區1111C、第三區1113C)。其中,第三區具有記憶胞的通道的摻雜輪廓。
立體記憶胞陣列包括位於複數條垂直線中的多條垂直線之間的多個隔離結構(例如隔離結構1190),設置在多個閘極線堆疊結構(stacks of gate lines)之間的多個溝槽中。
在另一實施例中,複數條垂直線中的多條垂直線係多條閘極線。記憶胞本體線包括藉由多個溝槽所隔離的多個半導體條帶堆疊結構,這些半導體條帶具有配置來作為第一導線的一第一導電摻雜 區、配置來作為第二導線的一第二導電摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。其中,第三區具有記憶胞的通道的摻雜輪廓。閘極線包括垂直地設置在多個溝槽中的複數個導電條帶。
此記憶體元件可以包括複數個半導體條帶,垂直地設置並與位於導電條帶堆疊結構的第一側壁與第二側壁之上的多個電荷儲存結構接觸。這些半導體條帶可以具有配置來作為第一垂直導線的一第一導電摻雜區、配置來作為第二垂直導線的一第二導電摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。其中,第三區具有記憶胞堆疊結構中的記憶胞的通道的摻雜輪廓。
記憶胞堆疊結構中的記憶胞可以具有位於第一垂直導線中的第一載流終端(current carrying terminals)、位於第二垂直導線中的第二載流終端、位於半導體條帶第三區中的通道以及位於導電條帶堆疊結構的導電條帶中的閘極。
此記憶體元件可以包括一第一導電單元及一第二導電單元。其中,第一導電單元連接複數個半導體條帶中的一第一半導體條帶的第一垂直導線與複數個半導體條帶中的一第二半導體條帶中的第一垂直導線;第二半導體條帶係藉由一隔離結構與第一半導體條帶分離。第二導電單元連接第一半導體條帶中的第二垂直導線與第二半導體條帶中的第二垂直導線。
此記憶體元件可以包括將多條輸入線連接至各自的第一導電單元的第一層間連接器,以及將多條輸出線連接至各自的第二 導電單元的第二層間連接器。
第2圖係繪示第1圖所示立體記憶胞陣列中的4行4列的16個記憶胞堆疊結構,在Z層記憶胞階層中的一給定(z)階層中的布局視圖。
閘極線是使用沿著X-方向延伸,且在Z層記憶胞階層中的一給定(z)階層中排列成行(y、y+1、y+2、y+3)的多條字元線(例如閘極線WL(y,z)、WL(y+1,z)、WL(y+2,z)、WL(y+3,z))來實現。輸入線是使用沿著Y方向延伸,排列成列(例如x列、x+1列、x+2列、x+3列),且覆蓋在記憶胞陣列上的多條位元線(例如輸入線BLeven(1)、BLodd(1)、BLeven(2)及BLodd(2))來實現。輸出線是使用覆蓋於記憶胞陣列上的多條源極線(例如輸出線SLeven(1)、SLodd(1)、SLeven(2)和SLodd(2))來實現。舉例而言,輸出線SLeven(2)係與(x+2)列中的輸入線BLeven(2)成對,輸出線SLodd(2)係與(x+3)列中的輸入線BLodd(2)成對。
如第2圖所繪示的實施例,記憶胞陣列的Z層記憶胞階層中的第一記憶胞堆疊結構,係位於記憶胞陣列一給定的(y)行與一給定的(x)列上,且包括位於給定的(z)階層中的一第一記憶胞210。第一記憶胞堆疊結構中的第一垂直連接器係連接至在給定的(x)列上的一對應輸入線BLeven(1),第一記憶胞堆疊結構中的第二垂直導線係連接至在給定的(x)列上的一輸出線SLeven(1)。
第一記憶胞堆疊結構中的第一記憶胞210具有一第一載流終端(例如橢圓形第一記憶胞210內的D)、一第二載流終端(例如 橢圓形第一記憶胞210內的S)、半導體條帶中的一水平通道(例如橢圓形第一記憶胞210內的C)以及閘極線WL(y,z)中的一閘極。其中,第一載流終端位於第一記憶胞堆疊結構中的第一垂直導線內;第二載流終端位於第一記憶胞堆疊結構中的第二垂直導線中。
記憶胞陣列的Z層記憶胞階層中的第二記憶胞堆疊結構,位於記憶胞陣列的一給定(y)行與一給定(x+1)列中,且包括位於給定的(z)階層中的第二記憶胞220。第二記憶胞堆疊結構包括第一垂直導線以及第二垂直導線(例如第3圖的第一垂直導線311與第二垂直導線312),位於包含有閘極線WL(y,z)的第一導電條帶堆疊結構的第二側壁112上。第二記憶胞堆疊結構中的第一垂直連接器係連接至位於給定的(x+1)列上的一對應輸入線BLodd(1);第二記憶胞堆疊結構中的第二垂直導線係連接至位於給定的(x+1)列上的一輸出線SLodd(1)。
第二記憶胞堆疊結構中的第二記憶胞220具有一第一載流終端(例如橢圓形第二記憶胞220內的D)、一第二載流終端(例如橢圓形第二記憶胞220內的S)、第二半導體條帶中的一水平通道(例如橢圓形第二記憶胞220內的C)、以及閘極線WL(y,z)中的一閘極。其中,第一載流終端具有第二記憶胞堆疊結構中的第一垂直導線中;第二載流終端具有第二記憶胞堆疊結構中的第二垂直導線。
記憶胞陣列的Z層記憶胞階層中的第三記憶胞堆疊結構,位於記憶胞陣列一給定的(y+1)行與一給定的(x+1)列上,且包括第三記憶胞230。第三記憶胞堆疊結構包括第一垂直導線321以及第二 垂直導線322(見圖3),位於包含有閘極線WL(y+1,z)的第二導電條帶堆疊結構的第一側壁121上。第三記憶胞堆疊結構中的第一垂直連接器係連接至位於給定的(x+1)列上的對應輸入線BLodd(1),第三記憶胞堆疊結構中的第二垂直導線係連接至位於給定的(x+1)列上的輸出線SLodd(1)。
第三記憶胞堆疊結構中的第三記憶胞230具有第一載流終端(例如橢圓形第三記憶胞230內的D)、第二載流終端(例如橢圓形第三記憶胞230內的S)、第三半導體條帶中的一水平通道(例如橢圓形第三記憶胞230內的C)以及閘極線WL(y+1,z)中的一閘極。其中,第一載流終端位於第三記憶胞堆疊結構中的第一垂直導線中;第二載流終端位於第三記憶胞堆疊結構中的第二垂直導線中。
隔離結構340(如第3圖所繪示)係設置在第二記憶胞堆疊結構和第三記憶胞堆疊結構之間。第二記憶胞堆疊結構包括第二記憶胞220,位於包含有閘極線WL(y,z)的第一導電條帶堆疊結構的第二側壁112上;第三記憶胞堆疊結構包括第三記憶胞230,位於包含有閘極線WL(y+1,z)的第二導電條帶堆疊結構的第一側壁121上。
包含有位於第一導電條帶堆疊結構的第一側壁上的第一記憶胞210的第一記憶胞堆疊結構,沿著方向(X方向)偏離包含有位於第一導電條帶堆疊結構的第二側壁122上的第二記憶胞220的第二記憶胞堆疊結構。其中,第一導電條帶堆疊結構的導電條帶係沿著此方向(X方向)延伸。
所述的偏離是指,第一記憶胞堆疊結構中的第一垂直導 線(例如橢圓形第一記憶胞210內的D),沿著第一導電條帶堆疊結構中的導電條帶延伸方向,設置在第一垂直導線與第二記憶胞堆疊結構中的第二垂直導線(例如橢圓形第二記憶胞220內的D和S)之間。
此外,所述的偏離是指,第二記憶胞堆疊結構中的第二垂直導線(例如橢圓形第二記憶胞220內的S),沿著第一導電條帶堆疊結構中的導電條帶延伸方向,設置在第一垂直導線與第一記憶胞堆疊結構中的第二垂直導線(例如橢圓形第一記憶胞210內的D和S)之間。
因此,第一記憶胞210和第二記憶胞220的輸入線和輸出線,與記憶胞陣列中的其他相似的記憶胞配對的輸入線和輸出線係彼此交錯,如此可以增加記憶胞密度。
第2A圖係繪示第1圖所示立體記憶體元件的兩個導電條帶堆疊結構(例如,導電條帶堆疊結構225)的例示設計規範(example design rule)。例示設計規範包括沿著閘極線延伸的第一方向(例如,X方向)排列,X-間距X-pitch為0.2μm(微米)的兩條堆疊閘極線、沿著與直交於第一方向的第二方向(例如,Y方向)排列,Y-間距Y-pitch為0.2μm的兩條堆疊源極線。
第3圖係繪示位於相鄰二記憶胞堆疊結構中多個記憶胞的結構放大圖。其中這些記憶胞係設置在相鄰二記憶胞堆疊結構之間的一溝槽或開口的側壁上。
第一閘極線係藉由位於第一導電條帶堆疊結構110(如第1圖所繪示)中的一導電條帶310所提供。導電條帶310具有面對電荷儲存結構351的一第一側壁111與一第二側壁112,第二側壁112 係位於於第一側壁111的相反一側。第二閘極線係藉由位於第二導電條帶堆疊結構120(如第1圖所繪示)中的一導電條帶320所提供。導電條帶320帶具有面對於電荷儲存結構352的一第一側壁121與一第二側壁122,第二側壁122係位於第一側壁121的相反一側。導電條帶堆疊結構中的導電條帶係藉由絕緣條帶(insulating strips)(例如,絕緣條帶360)來彼此隔離。
電荷儲存結構351係設置在第一導電條帶堆疊結構110的第二側壁112上,電荷儲存結構352係設置在第二導電條帶堆疊結構120的第一側壁121上。電荷儲存結構可以包括多層介電電荷捕捉結構(multilayer dielectric charge trapping structures)(例如矽氧化物層/氮化矽層/矽氧化物層),例如使用於矽-氧化矽-氮化矽-氧化矽-矽(silicon-oxide-nitride-oxide-silicon,SONOS)、及能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽(bandgap-engineered silicon-oxide-nitride-oxide-silicon,BE-SONOS)、氮化鉭-氧化鋁-氮化矽-矽氧化物-矽(tantalum nitride,aluminum oxide,silicon nitride,silicon oxide,silicon,TANOS)、金屬高介電係數能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽(metal-high-k bandgap-engineered silicon-oxide-nitride-oxide-silicon,BE-SONOS)和其他電荷捕捉記憶體元件(charge trapping memory devices)中的多層介電電荷捕捉結構。
垂直半導體條帶係垂直地設置並與位於導電條帶的第一側壁與第二側壁上的多個電荷儲存結構(電荷儲存結構351、352)接 觸。半導體條帶具有配置來作為第一垂直導線(第一垂直導線311、321)的第一導電摻雜區、配置來作為第二垂直導線(第二垂直導線312、322)的多個第二導電摻雜區、以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區(第三區313、323),具有記憶胞堆疊結構中記憶胞的通道的摻雜輪廓。如本文所使用的,立體記憶胞陣列中的每一個記憶胞包括一個電晶體。其中,此電晶體包括電荷儲存結構(例如,電荷儲存結構351)、具有第一導電摻雜區(例如,第一垂直導線311、第二垂直導線312與第三區313)的一個半導體條帶以及位於導電條帶(例如導電條帶310)中的一個閘極。
位於第二記憶胞堆疊結構中的第二記憶胞220和其他記憶胞,具有位於第一垂直導線311中的第一載流終端(源/汲極端)、位於第二垂直導線312中的第二載流終端(源/汲極端)、位於第三區313中的水平通道以及位於第一導電條帶堆疊結構110中的導電條帶310的一閘極。
位於第三記憶胞堆疊結構中的第三記憶胞230和其他記憶胞,具有位於第一垂直導線321中的第一載流終端、位於第二垂直導線322中的第二載流終端、位於第三區323中的水平通道以及第二導電條帶堆疊結構120中的導電條帶320的一閘極。
隔離結構係設置在複數個半導體條帶中的多個垂直半導體條帶之間。舉例而言,隔離結構340係設置在第一半導體條帶和第二半導體條帶之間。第一半導體條帶位於包含有導電條帶310的第一導電條帶堆疊結構的第二側壁112上。第二半導體條帶位於包含有 導電條帶320的第二導電條帶堆疊結構的第一側壁121上。第一半導體條帶具有配置來作為一第一垂直導線311的一第一導電摻雜區、配置來作為一第二垂直導線312的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區313。第二半導體條帶具有配置來作為一第一垂直導線321的一第一導電摻雜區、配置來作為一第二垂直導線322的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區323。
第一導電單元331可以設置在垂直半導體條帶上,以連結位於第一記憶胞堆疊結構中的第一垂直導線311與位於第二記憶胞堆疊結構中的第一垂直導線321,藉以提供層間連接器落著區(landing area)來覆蓋金屬線。一第二導電單元332可以設置在垂直半導體條帶上,用以連結第一記憶胞堆疊結構中的第二垂直導線312與第二記憶胞堆疊結構中的第二垂直導線322,藉以提供層間連接器落著區來覆蓋金屬線。
第一層間連接器(例如第1圖的第一層間連接器191)可以將多條輸入線(例如,第1圖的輸入線BLodd(2))連接至各自的第一導電單元(例如,第3圖所繪示的第一導電單元331)。第二層間連接器(例如第1圖的第二層間連接器192)可以將多條輸出線(例如第1圖所繪示的輸出線SLodd(2))連接至各自的第二導電單元(例如,第3圖的第二導電單元332)。
關於例示的尺寸,位於半導體條帶的第三區(第三區313、323)中的記憶胞的通道,可以具有大約100奈米(nm)的通道長度Lg、 大約30奈米的通道寬度W以及大約10奈米的通道厚度Tsi。隔離結構340可以具有大於30奈米的厚度d。多個電荷儲存結構(例如電荷儲存結構351、352)可以具有大約14奈米的厚度。當然,可以根據特定實施例中的需求與技術來變化記憶胞的尺寸。
舉例而言,具有半導體條帶的第三區(第三區313、323)中的記憶胞的通道,可以包括未摻雜的多晶矽(undoped polysilicon)。多個例如第一垂直導線311、321、第二垂直導線312、322可以是擴散線(diffusion lines)。其中,擴散線係使用電漿摻雜(plasma doping),在半導體條帶的側壁上所形成。
第4圖係繪示4行16列的64個記憶胞以及耦接至複數條輸出線的一感測電路的布局視圖。如第4圖所繪示的實施例,閘極線(例如閘極線WL(y,z)...閘極線WL(y+3,z))係沿著Y行排列,在z層記憶胞階層中的階層(z)上,耦接至階層(z)中各自的行(例如y...y+3)中的第一記憶胞210。閘極驅動器(例如閘極驅動器411、412)係連接至多條閘極線。
輸入線(例如,第2圖所繪示的輸入線BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2))係沿著X列(例如,第2圖的x列、x+1列、x+2列與x+3列)排列,且覆蓋記憶胞陣列與多條閘極線。輸入驅動器420係耦接至複數條輸入線,選擇性地用來對多條輸入線施加電壓,以對應輸入變數Xy。在一實施例中,與用來存取位於單一個記憶體元件中的記憶胞中的8千位元組(kilo-bytes,KB)數目一樣多的輸入線,被實現在單一個記憶體元件上,且可以同步地進行操作。
複數個輸出線(例如,第2圖所繪示的輸出線SLeven(1)、SLodd(1)、SLeven(2)與SLodd(2))係沿著X列(例如,第2圖所繪示的x列、x+1列、x+2列與x+3列)排列,且覆蓋記憶胞陣列與多條閘極線。複數條輸出線中的多條輸出線,係在各自的列中與輸入線搭配成對。
感測電路430係耦接至複數條輸出線以感測複數條輸出線中的一組輸出線(具有至少一條輸出線)中的電流總和。在一實施例中,輸出線係耦接至複數個導電條帶堆疊結構,且輸出線上的電流,可以代表此複數個導電條帶堆疊結構上的電流總和。在另一實施例中,複數條輸出線中的多條輸出線,可以在多條輸出線所構成的群組中被連接在一起。例如,一個群組中可以具有8或16條連接在一起的輸出線。在執行積項和操作時,一個群組中連接在一起的多條輸出線上的電流,可以代表耦接至此群組中彼此連接在一起的輸出線的複數個導電條帶堆疊結構上的電流總和。在對一個連接在一起的多條輸出線群組執行讀取操作時,可以選擇單一輸出線以進行讀取,同時可以將群組中的其他輸出線接地。
在第1圖至第4圖所描述的結構中,立體記憶胞陣列中的記憶胞係設置在複數條垂直線與複數條水平線的交叉點上。這些記憶胞具有設置在複數條垂直線與複數條水平線的交叉點上的電荷儲存結構。複數條垂直線與複數條水平線的其中一者,可以包括多條記憶胞本體線,複數條垂直線與複數條水平線的另一者可以包括多條閘極線。
每一條記憶胞本體線可以包括沿著記憶胞本體線平行延伸的第一導線與第二導線以及位於記憶胞本體線和閘極線的交叉點上的複數個記憶胞本體。其中,記憶胞本體係連接在第一導線與第二導線之間,且配置來作為第一源/汲極端、第二源/汲極端以及立體記憶胞陣列中的記憶胞的通道。每一條閘極線包括配置來作為立體記憶胞陣列中的記憶胞的控制閘極的導體,並鄰接位於閘極線與記憶胞本體線的交叉點上的電荷儲存結構。
如同上述,第5至13圖係繪示製造包括用於執行積項和操作的立體記憶胞陣列的記憶體元件的製程結構透視圖。
第5圖係繪示形成藉由多個溝槽(例如溝槽115、125、135)來隔離的複數個導電條帶堆疊結構(例如導電條帶堆疊結構110、120、130、140)之後的製程階段。每一個導電條帶堆疊結構具有一第一側壁和一第二側壁。舉例而言,第一導電條帶堆疊結構110具有一第一側壁111和一第二側壁112;第二導電條帶堆疊結構120具有一第一側壁121和一第二側壁122。第二導電條帶堆疊結構120的第二側壁122係位於第一導電條帶堆疊結構110的第一側壁111的相反一側。導電條帶堆疊結構中的多個導電條帶510係藉由多個絕緣條520來彼此隔離。
導電條帶堆疊結構中的導電條帶可當作多個閘極線。這些閘極線係沿著Z層記憶胞階層中對應階層中的Y行來進行排列。例如,使用第一導電條帶堆疊結構110中的多個導電條帶來實現的閘極線WL(y,z-1)、閘極線WL(y,z)與閘極線WL(y,z+1),係沿著Z層記 憶胞階層中的z-1對應階層、z對應階層與z+1對應階層中的一給定的(y)行來進行排列。又例如,使用第二導電條帶堆疊結構120中的多個導電條帶來實現的閘極線WL(y+1,z-1)、閘極線WL(y+1,z)與閘極線WL(y+1,z+1)係沿著Z層記憶胞階層中的z-1對應階層、z對應階層與z+1對應階層中的一給定的(y+1)行來進行排列。
第6圖係繪示在形成材料層610之後的製程階段。其中,材料層610係用來作為位於導電條帶堆疊結構(例如,第一導電條帶堆疊結構110和第二導電條帶堆疊結構120)中第一側壁與第二側壁上的多個電荷儲存結構。
第7圖與第8圖係繪示形成與材料層610接觸的半導體薄膜。其中,材料層610係用來作為導電條帶堆疊結構(例如,第一導電條帶堆疊結構110和第二導電條帶堆疊結構120)的第一側壁與第二側壁上的多個電荷儲存結構。
第7圖係繪示在材料層610上形成半導體材料層710之後的製程階段。其中,這些半導體材料層710是形成於位在導電條帶堆疊結構的第一側壁與第二側壁上的這些材料層610,以及導電條帶堆疊結構(例如,第一導電條帶堆疊結構110和第二導電條帶堆疊結構120)的頂表面上,這些材料層610係用來作為多個電荷儲存結構。半導體材料層可以是未摻雜且共形於多個電荷儲存結構。在本發明的其他實施態樣中,材料層610可形成於導電條帶堆疊結構的第一側壁與第二側壁,以及導電條帶堆疊結構的頂表面上,而半導體材料層710共形地形成於材料層610。
第8圖係繪示在移除導電條帶堆疊結構(例如,第一導電條帶堆疊結構110和第二導電條帶堆疊結構120)頂表面以及溝槽的底表面上的半導體材料層710,以形成位於導電條帶堆疊結構的第一側壁和第二側壁上的半導體薄膜810之後的製程的階段,其中多個半導體薄膜係彼此分離。可以根據半導體材料選擇使用間隙壁蝕刻(spacer etch)或非等向性蝕刻製程(anisotropic etch process)來完成前述製程。
第9圖係繪示使用,例如是氧化矽,的絕緣體910來填充溝槽之後的製程階段。其中,這些溝槽係用來形成隔離結構,位於導電條帶堆疊結構的第一側壁與第二側壁上的半導體薄膜810之間。
第10圖係繪示在對絕緣體910進行回蝕,且在凹陷的絕緣體910上方沈積一半導體材料1010,並對此結構進行平坦化之後的製程階段。結果,半導體材料1010連接位於第一導電條帶堆疊結構110的第一側壁上以及位於第二導電條帶堆疊結構120的第二側壁上的半導體薄膜810。其中,第一導電條帶堆疊結構110鄰接第二導電條帶堆疊結構120。
第11圖係繪示在蝕刻穿過用來作為電荷儲存結構的材料層610、半導體薄膜810、絕緣體910以及位於絕緣體910上的半導體材料1010的開孔,藉以在第一導電條帶堆疊結構110和第二導電條帶堆疊結構120之間形成垂直島(vertical islands)1111、1113之後的製程階段。每一個垂直島具有分別位於第一導電條帶堆疊結構110和第二導電條帶堆疊結構120中的第一半導體條帶(例如第一半導體條帶 1110a)和第二半導體條帶(例如第二半導體條帶1110b)、位於第一導電條帶堆疊結構110和第二導電條帶堆疊結構120中的導電條帶延伸方向(例如X方向)上的一第一側壁以及一第二側壁。其中,第二側壁位於第一側壁的相反一側。在本製程階段中,絕緣體910會被蝕刻(如第10圖所繪示)而形成多個隔離結構1190。
如第11圖的實施例所示,第一島(island)1111具有一第一側壁1111a以及一第二側壁1111b,且第二側壁1111b,在X方向上,位於第一側壁1111a的相反一側。第二島1113具有一第一側壁1113a以及一第二側壁1113b,且第二側壁1113b,在X方向上,位於第一側壁1113a的相反一側。用來作為電荷儲存結構的材料層610、第一半導體條帶1110a、第二半導體條帶1110b、隔離結構1190以及隔離結構上的半導體材料1010,係通過位於第一側壁與第二側壁上的開孔而曝露於外。
位於第一導電條帶堆疊結構110的第一側壁111上的多個島,係與沿著第一導電條帶堆疊結構110中的導電條帶延伸方向,偏離位於第一導電條帶堆疊結構110的第二側壁112上的多個島(例如,第11圖所繪示的偏離1120)。
第12圖係繪示在對位於島的第一側壁與第二側壁(例如,第11圖所繪示的第一側壁1111a和第二側壁1111b、第一側壁1113a和第二側壁1113b)上的第一半導體條帶和第二半導體條帶(例如,第11圖所繪示的第一半導體條帶1110a和第二半導體條帶1110b)經由開孔而曝露於外的部分進行摻雜,以形成配置來作為第一導線(例如,第 一導線1111D、1113D)的一第一導電摻雜區、配置來作為第二導線(例如,第二導線1111S、1113S)的一第二導電摻雜區以及一第三區(例如,第三區1111C、1113C)之後的製程階段。其中,第三區位於第一導電摻雜區與第二導電摻雜區之間,具有立體記憶體陣列中的記憶胞的通道的摻雜輪廓。
在一實施例中,摻雜製程的結果,第一導線與第二導線可以包括N+擴散形成區(diffusion formation)。在另一實施例中,摻雜製程的結果,第一導線和第二導線可以包括P+擴散形成區。立體記憶胞陣列中的記憶胞,具有第一導線中的第一載流終端、第二導線中的第二載流終端、位於半導體條帶第三區中的通道以及位於複數個導電條帶堆疊結構中的導電條帶中的閘極。
製程的此階段包括對位於島的第一側壁與第二側壁的隔離結構上方的半導體材料進行摻雜。此摻雜步驟可以形成一第一導電單元1111DP和一第二導電單元1111SP。其中,第一導電單元1111DP係用以連接位於第一導電條帶堆疊結構的第一側壁上的第一導線1111D和位於第二導電條帶堆疊結構的第二側壁上的第一導線1111D2;第二導電單元1111SP係用以連接位於第一導電條帶堆疊結構的第一側壁上的第二導線1111S和位於第二導電條帶堆疊結構的第二側壁上的第二導線1111S2。
第13圖係繪示在形成複數條輸入線(例如輸入線BLeven(1))以及複數條輸出線(例如輸出線SLeven(1))之後的製程階段。其中複數條輸入線係連接至導電條帶堆疊結構的半導體條帶(例如 第一半導體條帶1110a、第二半導體條帶1110b)中的第一導線(例如第一導線1111D、1113D);而複數條輸出線(例如輸入線SLeven(1))係連接至導電條帶堆疊結構的半導體條帶(例如第一半導體條帶1110a、第二半導體條帶1110b)中的第二導線(例如第二導線1111S、1113S)。在此一製程階段中,形成第一層間連接器(例如第一層間連接器1301),藉以將輸入線(例如輸入線BLeven(1))連接至各自的第一導電單元(例如第一導電單元1111DP)。形成第二層間連接器(例如第二層間連接器1302)藉以將輸出線(例如輸出線SLeven(1))連接至各自的第二導電單元(例如第二導電單元1111SP)。接著,形成包括這些輸入線(位元線)與這些輸出線(源極線)的多個圖案化導體層(patterned conductor layers)。
如第5圖至第13圖所描述,第14A圖與第14B圖係繪示製造包括用於執行積項和操作的立體記憶胞陣列的記憶體元件流程圖。
請參照步驟1410,形成用來作來閘極線的複數個導電條帶堆疊結構,並且藉由多個溝槽彼此分隔,使每一個導電條帶堆疊結構具有一第一側壁與一第二側壁。此一步驟可以參照第5圖來進一步描述。
請參照步驟1420,在導電條帶堆疊結構的第一側壁與第二側壁上形成用來作為電荷儲存結構的材料層。此一步驟可以參照第6圖來進一步描述。
請參照步驟1430,於導電條帶堆疊結構的第一側壁與 第二側壁上形成半導體薄膜,使其與用來作為電荷儲存結構的材料層接觸。此一步驟可以參照第7圖至第8圖來進一步描述。
請參照步驟1440,在半導體薄膜之間形成多個絕緣體。此步驟可以參照第9圖來進一步描述。
請參照步驟1450,對絕緣體進行回蝕,以形成多個凹陷部,在絕緣體上的多個凹陷部中沈積半導體材料。位於凹陷部中的半導體材料,形成多個落著區,並且連接位於第一導電條帶堆疊結構的第一側壁上的多個半導體薄膜,以及連接位於第二導電條帶堆疊結構的第二側壁上的多個半導體薄膜。其中,第二導電條帶堆疊結構係鄰接第一導電條帶堆疊結構。此一步驟可以參照第10圖來進一步描述。
請參照步驟1460,蝕刻多個開孔,穿過用來作為電荷儲存結構的多個材料層、半導體薄膜、隔離結構、位於隔離結構上方的半導體材料,藉以在第一導電條帶堆疊結構以及第二導電條帶堆疊結構之間形成複數個島,每一個島各自地具有位於第一導電條帶堆疊結構和第二導電條帶堆疊結構上的第一半導體條帶和第二半導體條帶以及沿著第一導電條帶堆疊結構和第二導電條帶堆疊結構中的導電條帶延伸方向排列的一第一側壁和一第二側壁。其中,第二側壁位於第一側壁和的相反一側。此一步驟可以參照第11圖來進一步描述。
請參照步驟1470,通過開孔對島的第一側壁和第二側壁上的第一半導體薄膜和第二半導體薄膜進行摻雜,以形成配置來作為第一導線的一第一導電摻雜區、配置來作為第二導線的一第二導電 摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區。其中,第三區具有立體記憶胞陣列中記憶胞的通道的摻雜輪廓。此一步驟可以參照第12圖來進一步描述。
請參照步驟1480,形成複數條輸入線,用來連接至記憶胞本體線中的第一導線。此一步驟可以參照第13圖來進一步描述。
請參照步驟1490,形成複數條輸出線,用來連接至記憶胞本體線中的第二導線。此一步驟可以參照第13圖來進一步地描述。
此製程可進一步形成一閘極驅動器(例如第19圖所繪示的閘極驅動器1940),在鄰接記憶胞陣列的一接觸區域中,耦接至用來作為閘極線的水平導電條帶。閘極驅動器,可選擇性地施加控制閘極電壓,結合記憶胞中的電荷儲存結構的電荷,以對應積項和操作中的乘積項的權重Wxyz,以響應位址信號來選擇立體記憶胞陣列中的記憶胞來作為積項和操作中的乘積項。
此製程可進一步形成一輸入驅動器(例如,第19圖所繪示的輸入驅動器1970),耦接至複數條輸入線,可選擇性地施加電壓,以對應輸入變數Xy;以及形成一感測電路(例如,第19圖所繪示的感測電路1950),耦接至複數個輸出線,以感測複數個輸出線中的一組輸出線的電流總和。
第15圖至第18圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的積項和操作以及包括讀取操作、寫入操作和抹除操作 的記憶體操作的範例。具有多個記憶胞的立體記憶胞陣列係根據第1圖至第4圖來描述。如本文所使用的,在記憶體操作中,輸入線(例如,輸入線BLeven(1))可以稱作位元線,輸出線(例如,輸出線SLeven(1))可以稱作源極線,閘極線(例如,閘極線WL(y,z))可以稱作字元線。
第15圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一積項和操作範例。選擇記憶胞1521具有耦接至一選擇位元線(輸入線)BLeven(1)的第一載流終端D、耦接至選擇源極線(輸出線)SLeven(1)的第二載流終端S以及耦接至一選擇字元線(閘極線)WL(y,z)的閘極。積項和操作執行以下方程式:
Figure 107134159-A0305-02-0034-2
其中,VBL(x)代表施加至(x)列上的輸入線的電壓,且此處亦稱作輸入值X(x)。W(x,y,z)代表在(x)列、(y)行與(z)記憶胞階層的記憶胞陣列中的記憶胞權重因數。Sum代表一群組x=1~N的輸出線的電流總和(例如電流1551、1552、1553、1554)。在一實施例中,在積項和操作中,N可以是一偶數,例如N=2、4、8、16、32等。在另一實施例中,在積項和操作中,N可以是一奇數,例如N=3、5、9、17、33等。
感測電路430(如第4圖所繪示)係耦接至複數個輸出線以感測複數條輸出線中的一組輸出線(具有至少一條輸出線)中的電流總和。在一實施例中,輸出線係耦接至複數個導電條帶堆疊結構,且輸出線上的電流能代表此複數個導電條帶堆疊結構上的電流總和。在 另一實施例中,複數條輸出線中的多條輸出線可以連接在一起形成具有多條輸出線的群組。例如,一群組可以具有8或16條連接在一起的輸出線。在執行積項和操作時,在一個連接在一起的多條輸出線群組中的電流,可以代表與該群組中連接在一起的多條輸出線耦接的複數個導電條帶堆疊結構上的電流總和。對一群組中連接在一起的多條輸出線中的一單一輸出線執行讀取操作時,可以選擇單一輸出線來進行讀取,而可以將群組中的其他輸出線接地。
如第15圖所繪示的實施例,位於導電條帶堆疊結構的(z)記憶胞階層的第一側壁(例如第一側壁111)上的多個記憶胞(例如記憶胞1511、1512)以及位於導電條帶堆疊結構的(z)記憶胞階層的第二側壁112上的多個記憶胞(例如記憶胞1521、1522)係藉由導電條帶堆疊結構中的閘極線WL(y,z)來進行選取。輸出線SLeven(1)、輸出線SLodd(1)、輸出線SLeven(2)與輸出線SLodd(2)分別耦接至記憶胞1521、1511、1522、1512,且亦耦接至其他閘極線(例如閘極線WL(y+1,z)、WL(y+2,z)、WL(y+3,z))上的多個記憶胞。
在執行積項和操作時,可以對被選取的閘極線WL(y,z)施加電壓為+3伏特(V)的偏壓(be biased),並且可以對輸出線(例如輸出線SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2))施加電壓為0V的偏壓。可以對輸入線(例如輸入線BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2))施加一個範圍的電壓(例如,+0.3V、+0.6V、+0.2V、+0.5V),用以代表輸入值i(x)(例如VBL(x))。
第16圖係繪示藉由參照用來作為記憶體的立體記憶胞 陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一讀取操作範例。被選取的記憶胞1521係參照第15圖來描述。
在執行讀取操作時,可以對被選取的位元線(輸入線)BLeven(1)施加電壓為+1V的偏壓,而可以對未被選取的位元線(例如輸入線BLodd(1)、BLeven(2)、BLodd(2))施加電壓為0V的偏壓。可以對源極線(例如輸出線SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2))施加電壓為0V的偏壓。可以對被選取的字元線(閘極線)WL(y,z)施加電壓為+3V的偏壓,而可以對未被選取的字元線(例如閘極線WL(y+1,z)、WL(y+2,z)、WL(y+3,z))施加電壓為0V的偏壓。
在給定的偏壓條件下,讀取電流(例如讀取電流1501)可以從選擇源極線(輸出線)SLeven(1)流過選擇記憶胞1521的通道(例如通道1521C)到達選擇位元線(輸入線)BLeven(1)。
第17圖繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一寫入操作範例。其中,被選取的記憶胞1521係參照第15圖來描述。
在執行寫入操作時,為誘發+FN(Fowler-Nordheim)寫入,可以對被選取的字元線(閘極線)WL(y,z)施加電壓為+20V的寫入脈衝(program pulse),可以對被選取的位元線(輸入線)BLeven(1)施加電壓為0V的偏壓,可以對被選取的源極線(輸出線)SLeven(1)施加電壓為0V的偏壓,這樣可以誘發記憶胞臨界電壓的增加。可以對未被選取的字元線(例如閘極線WL(y+1,z)、WL(y+2,z)、WL(y+3,z))施加 0V的偏壓。可以對未被選取的位元線(例如輸入線BLodd(1)、BLeven(2)、BLodd(2))及未被選取的源極線(例如輸出線SLodd(1)、SLeven(2)、SLodd(2))施加電壓為+6V的偏壓來抑制(inhibit)寫入。可以使用增量步進脈衝寫入(Incremental step pulse programming,ISPP)來進行操作。也可以使用多階層的每單位-多位元寫入(multiple-bit-per-cell programming)來進行操作。亦可以使用每單位-單位元寫入(Single-bit-per-cell programming)來進行操作。
在使用+FN(Fowler-Nordheim)穿隧寫入的一個實施例中,被選取記憶胞的位元線和源極線可以被施加相同的電壓(例如0V);而未被選取記憶胞的位元線和源極線可以被施加一相同的電壓(例如6V),因此沒有電流會流過通道,不會有元件被電壓擊穿(punch-through)的顧慮。
根據可用作記憶體或讀取用於積之和操作的陣列中的多個記憶胞的狀態的具有多個記憶胞的一3D陣列範例,第18圖係繪示藉由參照用來作為記憶體的立體記憶胞陣列或藉由讀取用於積項和操作的立體記憶胞陣列中的記憶胞狀態所進行的一抹除操作範例。其中,被選取的記憶胞1521係參照第15圖來描述。
在執行抹除操作時,為誘發-FN(Fowler-Nordheim)穿隧抹除,可以對被選取的字元線(閘極線)WL(y,z)施加電壓為-12V的脈衝,對被選取的位元線(輸入線)BLeven(1)施加電壓為+6V的偏壓,對被選取的源極線(輸出線)SLeven(1)施加電壓為+6V的偏壓。可以對未被選取的字元線(例如閘極線WL(y+1,z)、WL(y+2,z)、WL(y+3,z)) 施加0V的偏壓,對未被選取的位元線(例如輸入線BLodd(1)、BLeven(2)、BLodd(2))施加電壓為0V的偏壓,對未被選取的源極線(例如輸出線SLodd(1)、SLeven(2)、SLodd(2))施加電壓為0V的偏壓。可以使用各種抹除操作來執行。
第19圖係繪示包括用於執行積項和操作的立體記憶胞陣列1960的積體電路元件的一種簡化晶片方塊圖。立體記憶胞陣列中的多個記憶胞設置在複數條垂直線與複數條水平線的交叉點上,這些記憶胞具有設置在複數條垂直線與複數條水平線的交叉點上的電荷儲存結構。複數條垂直線與複數條水平線的其中一者可以包括多個記憶胞本體線,複數條垂直線與複數條水平線的另一者可以包括多個閘極線。
每一條記憶胞本體線包括沿著記憶胞本體線平行延伸的第一導線與第二導線以及位於記憶胞本體線與閘極線的交叉點上的複數個記憶胞本體。記憶胞本體連接在第一導線與第二導線之間,且配置來做為第一源/汲極端、第二源/汲極端以及立體記憶胞陣列中的記憶胞的通道。每一條閘極線1945包括配置來做為立體記憶胞陣列中的記憶胞的控制閘極的導體,鄰接位於於閘極線與記憶胞本體線的交叉點上的電荷儲存結構。
複數條輸入線1965係連接至記憶胞本體線中的第一導線。複數條輸出線1955係連接至記憶胞本體線中的第二導線。
閘極驅動器1940耦接至閘極線1945,用來施加控制閘極電壓,並結合記憶胞的電荷儲存結構中的電荷以對應積項和操 作中的乘積項的權重Wxyz,以響應位址信號(例如,匯流排(bus)1930上)來選取立體記憶胞陣列中的記憶胞作為積項和操作中的乘積項。
輸入驅動器1970耦接至複數條輸入線1965,用來施加電壓以對應輸入變數Xy。感測電路1950耦接至複數條輸出線1955,以感測複數條輸出線中的一組輸出線中的電流總和,接著通過匯流排1953耦接至緩衝電路1990,以將感測結果儲存於緩衝電路1990。
立體記憶胞陣列包括數目為X的多條輸入線以及數目為Y的閘極線位於數目為Z的記憶胞階層的每一記憶胞階層中。藉此形成一個記憶胞堆疊結構(stack of cells),以耦接至位於Z層記憶胞階層中的每一者中的多條輸入線的其中一者以及多條閘極線的其中一者。使記憶胞堆疊結構在多條輸入線的其中一者與多條輸出線的其中一者之間,具有Z個平行排列的記憶胞。
位址係以匯流排1930從控制邏輯(控制器)1910提供至輸入驅動器1970和閘極驅動器1940。電路中的電壓感測感測放大器(Voltage sensing sense amplifiers)1980係通過線路1975來耦接至輸入驅動器1970,接著耦接至緩衝電路1990。緩衝電路1990可以通過匯流排1985來與電路中的感測放大器1980耦接,以儲存立體記憶胞陣列中記憶胞的電晶體的寫入資料(program data)。緩衝電路1990可以藉由匯流排1993來與輸入/輸出電路1991耦接。此外,控制邏輯1910可以包括選擇性地將寫入電壓(program voltages)施加至立體記憶胞陣列中的記憶胞中的電晶體的電路,以響應緩衝電路1990的寫入資料 值(program data values)。
輸入/輸出電路1991將資料傳輸至積體電路裝置1900外部的目的地。輸入/輸出資料與控制信號係通過位於輸入/輸出電路1991之間的資料匯流排(data bus)1905、位於積體電路裝置1900上的控制邏輯1910以及輸入/輸出埠(input/output ports)或位於積體電路裝置1900的內部或外部的其他資料源來移動。其中,內部或外部的其他資料源,可以是例如,通用處理器(general purpose processor)、特殊用途應用電路(special purpose application circuitry)或被立體記憶胞陣列1960所支持,可以提供系統單晶片功能性(system-on-a-chip functionality)的組合模組。
控制邏輯1910係耦接至緩衝電路1990、立體記憶胞陣列1960以及用來進行記憶體存取和內存積項和操作所使用的其他週邊電路(peripheral circuits)。
在一些實施例中,控制邏輯1910係使用偏壓配置狀態機(bias arrangement state machine)來控制通過電壓供應或方塊(偏壓配置供給電壓(biasing arrangement supply voltages))1920所產生或提供的供應電壓的應用,以進行記憶體操作。其他實施例中,控制邏輯1910使用偏壓配置狀態機來控制通過電壓供應或方塊(偏壓配置供給電壓)1920所供應來產生或提供的供應電壓的應用,以進行積項和操作。
控制邏輯1910可以使用所屬技術領域中所習知的專用邏輯電路(special-purpose logic circuitry)來實現。在另一實施例中, 控制邏輯包括可以在相同的積體電路上實施的一通用處理器,可以在相同積體電路中執行電腦程式以控制元件的操作。在又一實施例中,可以使用專用邏輯電路和通用處理器的組合來實現控制邏輯。
第20圖係繪示用於執行積項和操作的立體記憶胞陣列中的薄膜電晶體介電電荷捕捉記憶胞(能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽)的Id-Vg曲線圖。舉例而言,可以藉由設定多個記憶胞的臨界電壓Vt,採用作為偏壓之函數的電導來對記憶胞進行漸進式的寫入。圖中繪示記憶胞IV曲線在Vt=1V(A狀態)、Vt=1.7V(B狀態)、Vt=2.2V(C狀態)和Vt=2.5V(D狀態)的狀態。在恆定讀取閘極電壓(constant read gate voltage)(例如Vg=+3V)之下,四個記憶胞中的讀取電流ID,在汲極電壓Vd=+1V時,其範圍從5μA(5x10-6)至0μA。記憶胞的可寫入電導的範圍從5μA/V至0μA/V。對於使用多階記憶胞(multi-level cells,MLC)的積項和操作而言,電導分佈是比臨界電壓分佈更重要的,因此可以採用寫入驗證(program-verify)來緊縮電導分佈,而不是緊縮臨界電壓分佈。
第21圖繪示用於執行積項和操作的立體記憶胞陣列中的薄膜電晶體介電電荷捕捉記憶胞(能隙工程矽-矽氧化物-氮化矽-矽氧化物-矽)的Id-Vd特性圖。為了執行積項和操作,Id-Vd(電導)的線性特性是被期望的。第21圖繪示薄膜電晶體記憶胞在汲極電壓Vd<1V、臨界電壓Vt=1V以及讀取閘極電壓(read gate voltage)Vg=+3V之下,所得到的量測資料,其中Id-Vd曲線具有線性特性。
第22圖係繪示用於執行積項和操作的立體記憶胞陣列 的電導分佈估計圖。在本實施例中,立體記憶胞陣列中的記憶胞可以是多階記憶胞。電導分佈在恆定的閘極電壓Vg=+3.5V下,估計具有4個階層:
A:電導=0μA/V(在Vt>3.5V)
B:電導=大約1.5μA/V
C:電導=大約4.5μA/V
D:電導=大約7μA/V
藉由控制寫入電壓與讀取電壓,可以根據不同的設計感測需求來設計不同的電導分佈。
第23圖係根據本說明書的一第二實施例所繪示的立體可堆疊AND快閃記憶體架構。第二實施例係描述用於執行積項和操作的立體記憶胞陣列2300。
如同參照第1圖的第一實施例,在第二實施例中,立體記憶胞陣列中的記憶胞(例如記憶胞160)係設置在多條記憶胞本體線與多條閘極線(例如閘極線WL(y,z-1)、WL(y,z)、WL(y,z+1))的交叉點上。閘極線包括藉由多條溝槽(例如溝槽115、125、135)彼此隔離的導電條帶堆疊結構(例如導電條帶堆疊結構110、120、130、140)。記憶胞本體線係垂直地設置在溝槽中。記憶胞具有設置在記憶胞本體線與閘極線的交叉點上的電荷儲存結構(例如電荷儲存結構161)。
記憶胞本體線包括半導體條帶,其具有配置來作為第一導線(例如,第一導線1111D)的一第一導電摻雜區、配置來作為第二導線(例如,第二導線1111S)的一第二導電摻雜區、以及位於第一導電 摻雜區與第二導電摻雜區之間的一第三區(例如,第三區1111C)。其中,第三區具有記憶胞的通道的摻雜輪廓。隔離結構(例如,絕緣體910)係設置在半導體條帶之間。
複數條輸入線(例如輸入線BL(1)、BL(2))係連接至記憶胞本體線中的第一導線(例如第一導線1111D、1113D)。複數條輸出線(例如輸出線SL(1)、SL(2))係連接至記憶胞本體線中的第二導線(例如第二導線1111S、1113S)。
如第一實施例所述,第二實施例可以包括耦接至閘極線的閘極驅動器1940(如第19圖所繪示)、耦接至複數條輸入線的輸入驅動器1970(如第19圖所繪示)以及耦接至複數條輸出線的感測電路1950(如第19圖所繪示)。
第二實施例與第一實施例的差異在於,在第二實施例中,複數個記憶胞堆疊結構中的多個記憶胞堆疊結構係以交錯行(alternate row)的方式排列,並且耦接至輸入線和輸出線。同時,位於那些被耦接至輸入線和輸出線,且以交錯行方式排列的記憶胞堆疊結構之間的多行記憶胞堆疊結構,並沒有任何記憶胞被耦接至輸入線和輸出線。那些沒有被耦接至輸入線和輸出線的多行記憶胞堆疊結構,可被稱作空置區域(empty regions)。隨著輸入線(例如,輸入線BL(1)、BL(2))和輸出線(例如,輸出線SL(1)、SL(2))數量的減少,與第一實施例的第2A圖所示的X-間距X-pitch相比,用來製備輸入線和輸出線的X-間距X-pitch可以加倍。因此,空置區域可以藉由減少列方向(Y方向)上的干擾,以及減少有佈線(routed)和解碼需求的閘極線的數量,來改 善元件性能。
第24圖係根據本說明書的一第三實施例所繪示的立體可堆疊AND快閃記憶體架構。其係採用閘極替換製程(gate replacement process),使用位於相鄰犧牲條帶堆疊結構(stacks of sacrificial strips)的多個溝槽來形成上述元件。第三實施例描述用於執行積項和操作的立體記憶胞陣列2400。
如同參照第1圖的第一實施例,在第三實施例中,立體記憶胞陣列中的記憶胞(例如記憶胞2460)係設置在多條記憶胞本體線與多條閘極線(例如閘極線WL(y,z))的交叉點上。閘極線包括藉由多個溝槽(例如溝槽2415、2425、2435)彼此隔離的多個導電條帶堆疊結構(例如,導電條帶堆疊結構2410、2420、2430、2440)。記憶胞本體線係垂直地設置在溝槽中。記憶胞具有設置在記憶胞本體線與閘極線的交叉點上的電荷儲存結構(例如,電荷儲存結構2461)。
記憶胞本體線包括半導體條帶,其具有配置來作為第一導線(例如,第一導線1111D、1113D)的一第一導電摻雜區、配置來作為第二導線(例如,第二導線1111S、1113S)的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區(例如第三區1111C、1113C)。其中,第三區具有記憶胞的通道的摻雜輪廓。
複數條輸入線(例如,輸入線BL(1)、BL(2))係連接至記憶胞本體線中的第一導線(例如第一導線1111D)。複數條輸出線(例如,輸出線SL(1)、SL(2))係連接至記憶胞本體線中的第二導線(例如,第二導線1111S)。
如第一實施例所述,第三實施例可以包括耦接至閘極線的一閘極驅動器1940(如第19圖所繪示)、耦接至複數條輸入線的輸入驅動器1970(如第19圖所繪示)以及耦接至複數條輸出線的感測電路1950(如第19圖所繪示)。
第三實施例與第一實施例的差異在於,在第三實施例中,有多行的記憶胞堆疊結構,係形成在多個導電條帶堆疊結構(例如導電條帶堆疊結構2420、2430)之間的多個交替排列的溝槽(例如溝槽2425)之中。同時,位於具有多行的記憶胞堆疊結構的多個交錯排列的溝槽之間的多個溝槽(例如溝槽2415、2435)中,則不會形成記憶胞堆疊結構。未具有多行的記憶胞堆疊結構的多個溝槽,可以用於閘極替換製程中,以形成導電條帶堆疊結構中的導電條帶。
舉例而言,為了形成第一和第二導電條帶堆疊結構(例如,導電條帶堆疊結構2410和2420),閘極替換製程可以包括以下步驟:形成第一和第二犧牲條帶堆疊結構,第一和第二犧牲條帶堆疊結構的每一者,具有位於一基板上的一第一側壁和一第二側壁,第二導電條帶堆疊結構的第二側壁(例如,第二側壁2422)係位於第一導電條帶堆疊結構的第一側壁(例如,第一側壁2411)的相反一側。第一和第二犧牲條帶堆疊結構係藉由溝槽(例如,溝槽2415)來彼此隔離;在第二犧牲條帶堆疊結構的第一側壁(例如第一側壁2421)上以及第一犧牲條帶堆疊結構的第二側壁(例如第二側壁2412)上形成半導體條帶。每一個半導體條帶具有配置來作為第一導線的一第一導電 摻雜區、配置來作為第二導線的一第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區。其中,第三區具有記憶胞的通道的摻雜輪廓;通過溝槽來移除第一犧牲條帶堆疊結構和第二犧牲條帶堆疊結構中的犧牲條帶,以形成多個開口;通過溝槽,在半導體薄膜的側壁上的多個開口中形成用來作為多個電荷儲存結構(例如,電荷儲存結構2461)的材料層;以及在多個開口中形成多個導電條帶(例如導電條帶WL(y,z)),使其與用來作為電荷儲存結構的材料層接觸。
第三實施例與第一實施例的另一個差異在於,在第三實施例中,單一半導體條帶設置在相鄰導電條帶堆疊結構(例如,導電條帶堆疊結構2420和2430)的側壁之間的溝槽(例如溝槽2425)中。與第1圖所繪示的第一實施例相比較,還包括一個隔離結構設置在二半導體條帶之間,位於相鄰導電條帶堆疊結構的側壁所定義的溝槽中。
第25圖係根據本說明書的一第四實施例所繪示的立體可堆疊AND快閃記憶體架構。其中,記憶胞堆疊結構係形成在多個交替排列的溝槽之中,且並未形成在具有記憶胞堆疊結構的多個交替排列的溝槽之間的多個溝槽之中。第四實施例描述用於執行積項和操作的立體記憶胞陣列2500。此外,這些記憶胞堆疊結構係設置在一扭轉陣列(twisted array)中,且記憶胞堆疊結構的交替排列的行(alternate rows)在位元線方向上彼此偏離,進而可以增加輸出線的密度。
如同參照第1圖的第一實施例,第四實施例中,立體記 憶胞陣列中的記憶胞(例如,記憶胞160)係設置在記憶胞本體線與閘極線(例如閘極線WL(y,z-1)、WL(y,z)、WL(y,z+1))的交叉點上。閘極線包括藉由溝槽(例如溝槽115、125、135)所隔離的多個導電條帶堆疊結構(例如,導電條帶堆疊結構110、120、130、140),記憶胞本體線係垂直地設置在溝槽中。記憶胞具有設置在記憶胞本體線與閘極線的交叉點上的電荷儲存結構(例如,電荷儲存結構161)。
記憶胞本體線包括半導體條帶,其具有配置來作為第一導線(例如,第一導線1111D)的一第一導電摻雜區、配置來作為第二導線(例如,第二導線1111S)的一第二導電摻雜區以及位於第一導電摻雜區與第二導電摻雜區之間的一第三區(例如第三區1111C),具有記憶胞的通道的摻雜輪廓。隔離結構(例如隔離結構1190)係設置在半導體條帶之間。
複數條輸入線(例如,輸入線BLeven(1))係連接至記憶胞本體線中的第一導線(例如,第一導線1111D)。複數條輸出線(例如,輸出線SLeven(1))係連接至記憶胞本體線中的第二導線(例如,第二導線1111S)。
如第一實施例所述,第四實施例可以包括耦接至閘極線的閘極驅動器1940(如第19圖所繪示)、耦接至複數條輸入線的輸入驅動器1970(如第19圖所繪示)以及耦接至複數條輸出線的感測電路1950(如第19圖所繪示)。
第四實施例與第一實施例的差異在於,在第四實施例中,多行的記憶胞堆疊結構形成多個導電條帶堆疊結構(例如,導電條帶堆 疊結構120和130)之間的多個交替排列的溝槽(例如,溝槽125)中。而並沒有多行的記憶胞堆疊結構形成在位於具有上述多行的記憶胞堆疊結構的交替排列的溝槽之間的溝槽(例如溝槽115、135)之中。如同參照第24圖的第三實施例,舉例而言,這些未具有多個行的記憶胞堆疊結構的多個溝槽可以用於閘極替換製程中,以形成導電條帶堆疊結構中的導電條帶。
兩相鄰的導電條帶堆疊結構(例如,導電條帶堆疊結構110、120),二者間的溝槽(例如溝槽115)中,不具有多行的記憶胞堆疊結構,且在對應階層的多條閘極線(例如,閘極線WL(y,z))可以被耦接以進行閘極線解碼,以節省閘極線解碼電路(gate line decoding circuitry)的面積。
第26圖係根據本說明書所繪示的一第五實施例。其中,輸入線係與輸出線正交排列。第五實施例描述用於立體可堆疊NOR快閃記憶體架構中執行積項和操作的立體記憶胞陣列2600。
如同參照第1圖的立體可堆疊AND快閃記憶體架構,在第五實施例中,立體記憶胞陣列中的記憶胞(例如,記憶胞160)係設置在記憶胞本體線與多個閘極線(例如,閘極線WL(y,z-1)、WL(y,z)、WL(y,z+1))的交叉點上。閘極線包括藉由多個溝槽(例如,溝槽115、125、135)所隔離的多個導電條帶堆疊結構(例如,導電條帶堆疊結構110、120、130、140),記憶胞本體線係垂直地設置在溝槽中。記憶胞具有設置在記憶胞本體線與閘極線的交叉點上的電荷儲存結構(例如,電荷儲存結構161)。
記憶胞本體線包括多個半導體條帶,其具有配置來作為第一導線(例如第一導線1111D)的第一導電摻雜區、配置來作為第二導線(例如第二導線1111S)的第二導電摻雜區以及位於第一導電摻雜區和第二導電摻雜區之間的一第三區(例如第三區1111C),具有記憶胞的通道的摻雜輪廓。隔離結構(例如,隔離結構1190)係設置在半導體條帶之間。
複數條輸入線(例如,輸入線BLeven(1))係連接至記憶胞本體線中的第一導線(例如,第一導線1111D)。複數條輸出線(例如,輸出線SLeven(1))係連接至記憶胞本體線中的第二導線(例如,第二導線1111S)。
如第一實施例所述,第五實施例可以包括耦接至閘極線的閘極驅動器1940(如第19圖所繪示)、耦接至複數條輸入線的輸入驅動器1970(如第19圖所繪示)以極耦接至複數條輸出線的感測電路1950(如第19圖所繪示)。
與參照第1圖所述的第一實施例的差異在於,第五實施例包括與複數條輸入線(例如,輸入線BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2))正交地排列的複數條輸出線(輸出線SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2))。舉例而言,在第五實施例中,複數條輸入線可以沿著一第一方向(X方向)上的多個行延伸,其中導電條帶堆疊結構中的導電條帶(例如,閘極線WL(y,z))係沿著第一方向延伸,且在與第一方向直交的一第二方向(Y方向)上來排列,而複數條輸出線可以沿著與第一方向直交的第二方向延伸,且沿著第一方向排列。 相較而言,第一實施例包括複數條輸入線與複數條輸出線皆沿著與第一方向直交的第二方向延伸,且皆沿著第一方向來排列。
在第五實施例中,複數條輸入線中的多條輸入線可以連接至位於記憶胞堆疊結構沿著行方向(X方向)上的各行的記憶胞本體線中的第一導線(例如第一導線1111D),複數條輸出線中的多條輸出線可以被連接至位於記憶胞堆疊結構沿著直交於行方向的列方向(Y方向)上的各行的記憶胞本體線中的第二導線(例如,第二導線1111S)。
在第五實施例中,積項和操作可以藉由將從各種輸入線的輸出線上輸出的電流進行加總來實現。
如第26圖的實施例所示,積項和操作可以藉由將位於第一偶數輸出線SLeven(1)上的源電流(source current)加總來實現。其中源電流係來自於第一偶數位元線(輸入線)BLeven(1)和第二偶數位元線(輸入線)BLeven(2),並通過記憶胞堆疊結構的Z階層,位於記憶胞陣列的(y)行、(y+2)行和(x)列的記憶胞。積項和操作可以藉由將位於一第一奇數輸出線SLodd(1)上的源電流加總來實現。其中源電流係來自於第一奇數位元線(輸入線)BLodd(1)和第二奇數位元線(輸入線)BLodd(2),並通過記憶胞堆疊結構的Z階層中,位於記憶胞陣列的(y+1)行、(y+3)行和(x+1)列的記憶胞。
如第26圖的實施例所示,積項和操作可以藉由將位於第二偶數輸出線SLeven(2)上的源電流加總來實現。其中源電流係來自於第一偶數位元線(輸入線)BLeven(1)和第二偶數位元線(輸入線)BLeven(2),並通過記憶胞堆疊結構的Z階層,位於記憶胞陣列的 (y)行、(y+2)行和(x+2)列的記憶胞。積項和操作可以藉由將位於第二奇數輸出線SLodd(2)上的源電流加總來實現。其中源電流係來自於第一奇數位元線(輸入線)BLodd(1)和第二奇數位元線(輸入線)BLodd(2),並通過記憶胞堆疊結構的Z階層,位於記憶胞陣列的(y+1)行、(y+3)行和(x+3)列的記憶胞。
描述一種用於人工智慧應用的立體可堆疊NOR快閃記憶體架構,可以支持「內存積項和(in-memory sum-of-products)」計算的人工智慧應用的用於記憶體與人工智慧應用。具有高密度、高頻寬和NOR型隨機存取速度(NOR-type random access speed),符合人工智慧記憶體(AI memory)的需求。此外,所述的立體可堆疊NOR快閃記憶體架構可用於具有高密度與低成本的快速隨機存取記憶體(fast random access memory)中。
綜上所述,雖然本發明已以實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。
110、120、130、140:導電條帶堆疊結構
115、125、135:溝槽
160:記憶胞
161:電荷儲存結構
191:第一層間連接器
192:第二層間連接器
1111、1113:島
1111C、1113C:第三區
1111D、1113D:第一導線
1111S、1113S:第二導線
1190:隔離結構
BLeven(1)、BLodd(1)、BLeven(2)、BLodd(2):輸入線
SLeven(1)、SLodd(1)、SLeven(2)、SLodd(2):輸出線
WL(y,z-1)、WL(y,z)、WL(y,z+1)、WL(y+1,z-1)、WL(y+1,z)、WL(y+1,z+1)、WL(y+2,z-1)、WL(y+2,z)、WL(y+2,z+1)、WL(y+3,z-1)、WL(y+3,z)、WL(y+3,z+1):閘極線

Claims (25)

  1. 一種記憶體元件,包括:具有複數個記憶胞的一立體記憶胞陣列,用於執行一積項和(sum-of-products)操作,該立體記憶胞陣列中的該些記憶胞被設置在複數條垂直線與複數條水平線的複數個交叉點(cross-points)上,其中該些記憶胞具有複數個可寫入電導(programmable conductances);一閘極驅動器(gate driver),耦接至用來施加複數個控制閘極電壓(control gate voltages)的複數條閘極線,其中該些控制閘極電壓係結合該些記憶胞的該些可寫入電導,用以對應該積項和操作中複數個乘積項(terms)的複數個權重Wxyz;一輸入驅動器(input driver),用來施加複數個電壓至該立體記憶胞陣列中的該些記憶胞,以對應複數個輸入變數Xy;以及一感測電路(sensing circuit),用來感測從該立體記憶胞陣列中的該些記憶胞的一電流總和,以對應該積項和;其中,該複數條垂直線和該複數條水平線的其中一者包括複數條記憶胞本體線(cell body lines),該複數條垂直線與該複數條水平線的另一者包括該些閘極線。
  2. 如申請專利範圍第1項所述之記憶體元件,其中:每一該些記憶胞本體線包括沿著該些記憶胞本體線平行延伸的複數條第一導線、複數條第二導線,以及複數個記憶胞本體(cell bodies),該些記憶胞本體包括位於該些記憶胞本體線與該些閘極線的交叉點上的複數個電荷儲存結構(charge storage structures);該些記憶胞本體連接在該些第一導線與該些第二導線之間,且配置來作為該立體記憶胞陣列中的該些記憶胞的複數個第一源/汲極端、複數個第二源/汲極端和複數個通道;以及每一該些閘極線的包括配置來作為該立體記憶胞陣列中該些記憶胞的控制閘極(control gates)的一導體,該導體鄰接於該些電荷儲存結構;該記憶體元件更包括:複數條輸入線,連接至該輸入驅動器並連接至該些記憶胞本體線中的該些第一導線;以及複數條輸出線,連接至該感測電路並連接至該些記憶胞本體線中的該些第二導線。
  3. 如申請專利範圍第2項所述之記憶體元件,其中該些記憶胞本體線包括複數個半導體條帶(semiconductor strips),每一該些半導體條帶具有配置來作為該第一導線的一第一導電摻雜區(conductively doped region)、配置來作為該第二導線的一第二導電摻雜區以及位於該第一導電摻雜區與該第二導電摻雜區之間的一第三區,該第三區具有該些記憶胞的該些通道的一摻雜輪廓(doping profile)。
  4. 如申請專利範圍第2項所述之記憶體元件,其中該複數條垂直線中的該些垂直線係用來作為該些記憶胞本體線。
  5. 如申請專利範圍第4項所述之記憶體元件,其中該些閘極線包括被複數個溝槽(trenches)所隔離的複數個導電條帶堆疊結構(stacks of conductive strips),其中該些記憶胞本體線係垂直地設置在該些溝槽中。
  6. 如申請專利範圍第4項所述之記憶體元件,其中該些閘極線包括被複數個溝槽所分離的複數個導電條帶堆疊結構,該些記憶胞本體線包括垂直地設置在該些溝槽中的複數個半導體條帶,每一該些半導體條帶具有配置來作為該第一導線的一第一導電摻雜區(conductively doped region)、配置來作為該第二導線的一第二導電摻雜區以及位於該第一導電摻雜區與該第二導電摻雜區之間的一第三區,其中該第三區具有該些記憶胞的該些通道的一摻雜輪廓。
  7. 如申請專利範圍第2項所述之記憶體元件,其中該複數條垂直線中的該些垂直線係用來作為該些閘極線。
  8. 如申請專利範圍第7項所述之記憶體元件,其中該些記憶胞本體線包括被複數個溝槽所分離的複數個導電條帶堆疊結構,每一該些半導體條帶具有配置來作為該一第一導線的一第一導電 摻雜區、配置來作為該第二導線的一第二導電摻雜以及位於該第一導電摻雜區和該第二導電摻雜區之間的一第三區,其中該第三區具有該些記憶胞的該些通道的一摻雜輪廓,且該些閘極線包括垂直地設置在該些溝槽中的複數個導電條帶。
  9. 如申請專利範圍第2項所述之記憶體元件,其中該立體記憶胞陣列包括X個該些輸入線和Y個該些閘極線位於Z個記憶胞階層(levels of cell)之中,藉以構成一記憶胞堆疊結構(stack of cells)耦接至該Z個記憶胞階層每一者中的該些輸入線之一者和該些閘極線之一者;該記憶胞堆疊結構包括Z個記憶胞平行地位於該些輸入線之該一者和該些輸出線之該一者之間。
  10. 一種記憶體元件,包括:由多個記憶胞組成的一記憶胞陣列,該記憶胞陣列具有X個列、Y個行以及Z個記憶胞階層,該記憶胞陣列中的每一該些記憶胞包括一電晶體,具有複數個可寫入電導;複數條閘極線,沿著該Y個行排列,以對應該Z個記憶胞階層中的多個記憶胞階層,並且分別地耦接至位於對應的該些記憶胞階層中的該些行中的該些記憶胞;複數條輸入線,沿著該X個列排列,且位於該記憶胞陣列上方;複數條輸出線,位於該記憶胞陣列上方;以及 一記憶胞堆疊結構,位於該記憶胞陣列的該Z個記憶胞階層中,且位於該記憶胞陣列的一給定行(y)與一給定列(x)上;其中該記憶胞堆疊結構包括一第一垂直導線與一第二垂直導線,該第一垂直導線連接至該給定列(x)上的一對應輸入線,該第二垂直導線連接至該些輸出線的其中一者,其中,該記憶胞堆疊結構中的該些記憶胞中的該些電晶體係平行地電性耦接在對應的該第一垂直導線與該第二垂直導線之間。
  11. 如申請專利範圍第10項所述之記憶體元件,包括耦接至該些輸出線的一感測電路。
  12. 如申請專利範圍第10項所述之記憶體元件,其中位於該給定列(x)和該給定行(y)上的一特定記憶胞堆疊結構的電流,代表施加在該給定列(x)的該對應輸入線上的複數個輸入值i(x)的積項和乘以位於該特定記憶胞堆疊結構的該Z個記憶胞階層中的該些記憶胞各自的權重因數W(x,y,z),其中該對應輸入線耦接至該特定記憶胞堆疊結構。
  13. 如申請專利範圍第12項所述之記憶體元件,其中對於耦接至複數個記憶胞堆疊結構的一輸出線來說,該輸出線上的電流代表包括該特定記憶胞堆疊結構的該複數個記憶胞堆疊結構上的一電流總和。
  14. 如申請專利範圍第10項所述之記憶體元件,包括:被複數個溝槽所隔離的複數個導電條帶堆疊結構,每一該些導電條帶堆疊結構具有一第一側壁與一第二側壁;複數個電荷儲存結構,位於該些導電條帶堆疊結構的該第一側壁與該第二側壁上;複數個半導體條帶,垂直地設置在該些導電條帶堆疊結構的該第一側壁與該第二側壁上,且與該些電荷儲存結構接觸,該些半導體條帶具有配置來作為該第一垂直導線的一第一導電摻雜區、配置來作為該第二垂直導線的一第二導電摻雜區以及位於該第一導電摻雜區和該第二導電摻雜區之間的一第三區;其中該第三區具有該記憶胞堆疊結構的該些記憶胞中的複數個通道的一摻雜輪廓;該些記憶胞堆疊結構中的該些記憶胞具有位於該第一垂直導線中的複數個第一載流終端(current carrying terminals)、位於該第二垂直導線中的複數個第二載流終端、位於該些半導體條帶的該第三區中的該些通道以及位於該些導電條帶堆疊結構中的複數個導電條帶中的複數個閘極;以及複數個隔離結構,位於該複數個半導體條帶中的該些半導體條帶之間。
  15. 如申請專利範圍第14項所述之記憶體元件,包括: 一第一導電單元,連結該複數個半導體條帶中的一第一半導體條帶中的該第一垂直導線以及該複數個半導體條帶中的一第二半導體條帶中的該第一垂直導線,其中該第二半導體條帶係藉由該些隔離結構的一者與該第一半導體條帶隔離;以及一第二導電單元,連結該第一半導體條帶中的該第二垂直導線與該第二半導體條帶中的該第二垂直導線。
  16. 如申請專利範圍第15項所述之記憶體元件,包括:第一層間連接器(interlayer connectors),將該些輸入線連接至各自的該些第一導電單元;以及第二層間連接器,將該些輸出線連接至各自的該些第二導電單元。
  17. 如申請專利範圍第14項所述之記憶體元件,包括:位於該複數個導電條帶堆疊結構的一特定導電條帶堆疊結構的一第一側壁上的該記憶胞堆疊結構,包括:一第二記憶胞堆疊結構,位於該記憶胞陣列的該Z個記憶胞階層中,且位於該記憶胞陣列的該給定行(y)與一給定列(x+1)上,其中該第二記憶胞堆疊結構係設置在該特定導電條帶堆疊結構的一第二側壁上, 其中,該第一記憶胞堆疊結構在一方向上偏離該第二記憶胞堆疊結構,該特定導電條帶堆疊結構的該些導電條帶,沿著該方向延伸。
  18. 一種記憶體元件的製作方法,包括:形成具有複數個記憶胞的一立體記憶胞陣列,用於執行一積項和操作,該立體記憶胞陣列中的該些記憶胞設置在複數條垂直線與複數條水平線的複數個交叉點上,該些記憶胞具有設置在該複數條垂直線與該複數條水平線的該些交叉點上的複數個電荷儲存結構,該複數條垂直線與該複數條水平線的其中一者包括複數條記憶胞本體線,該複數條垂直線與該複數條水平線的另一者包括複數條閘極線;其中:每一該些記憶胞本體線包括沿著該些記憶胞本體線平行延伸的複數條第一導線、複數條第二導線以及複數個記憶胞本體,位於該些記憶胞本體線與該些閘極線的複數個交叉點上;該些記憶胞本體連結在該些第一導線與該些第二導線之間,並且配置來做為該立體記憶胞陣列中的複數個第一源/汲極端、複數個第二源/汲極端以及複數個記憶胞的通道;且每一該些閘極線包括一導體,配置來作為該立體記憶胞陣列中該些記憶胞的複數個控制閘極,鄰接位於該些閘極線與該些記憶胞本體線的複數個交叉點上的該些電荷儲存結構; 形成複數條輸入線,連接至該些記憶胞本體線中的該些第一導線;形成複數條輸出線,連接至該些記憶胞本體線中的該些第二導線;形成一閘極驅動器,耦接至該些閘極線,用來施加複數個控制閘極電壓,以結合該些記憶胞的該些電荷儲存結構中的電荷,對應至該積項和操作中的複數個乘積項權重Wxyz(weights Wxyz of terms),響應複數個位址信號以選擇該立體記憶胞陣列中的多個記憶胞來作為該積項和操作中的複數個乘積項;形成一輸入驅動器,耦接至該複數條輸入線,以施加複數個電壓對應於複數個輸入變數Xy;以及形成一感測電路,耦接至該複數條輸入線,以感測該複數條輸出線中的一組輸出線中的一電流總和。
  19. 如申請專利範圍第18項所述之記憶體元件的製作方法,更包括:形成複數個導電條帶堆疊結構,該些導電條帶堆疊結構具有複數個導電條帶,且被複數個溝槽所隔離;每一該些導電條帶堆疊結構具有一第一側壁與一第二側壁;形成複數個材料層,用來作為該些電荷儲存結構,位於每一該些導電條帶堆疊結構的該第一側壁與該第二側壁上; 形成複數個半導體薄膜,與位於每一該些導電條帶堆疊結構的該第一側壁與該第二側壁上的該些材料層接觸;形成複數個隔離結構在該些半導體薄膜之間;沉積一半導體材料在該些隔離結構上,該半導體材料連接分別位於一第一導電條帶堆疊結構的該第一側壁和一第二導電條帶堆疊結構的該第二側壁上的該些半導體薄膜,其中該第二導電條帶堆疊結構鄰接該第一導電條帶堆疊結構;蝕刻用來作為該些電荷儲存結構的該些材料層、該些半導體薄膜、該些隔離結構以及位於該些隔離結構上的該半導體材料,藉以在該第一導電條帶堆疊結構和該第二導電條帶堆疊結構之間形成複數個島(islands),每一該些島分別具有位於該第一導電條帶堆疊結構以及該第二導電條帶堆疊結構上的複數個第一半導體條帶和複數個第二半導體條帶、一第一側壁和一第二側壁,位於該第一導電條帶堆疊結構和該第二導電條帶堆疊結構中的該些導電條帶的一延伸方向上,其中第二側壁位於該第一側壁的相反一側;以及摻雜位於該些島的該第一側壁與該第二側壁上的該些第一半導體條帶與該些第二半導體條帶,以形成配置來作為該第一導線的一第一導電摻雜區、配置來作為該第二導線的一第二導電摻雜區、以及位於該第一導電摻雜區和該第二導電摻雜區之間的一第三區,且具有該立體記憶胞陣列中的該些記憶胞的通道的一摻雜輪廓;其中,該立體記憶胞陣列中的該些記憶胞具有位於該第一導線中的複數個第一載流終端、位於該第二導線中的複數個第二載流終端、位 於該些半導體條帶的該第三區中的該些記憶胞的通道以及該些導電條帶堆疊結構中的該些導電條帶中的複數個閘極。
  20. 如申請專利範圍第19項所述之記憶體元件的製作方法,形成該些半導體薄膜的步驟包括:在該些導電條帶堆疊結構的該些第一側壁和該些第二側壁以及該些導電條帶堆疊結構的複數個頂表面上形成一半導體材料層;以及移除位於該些導電條帶堆疊結構的該些頂表面上的一部分該些半導體材料材料層,以形成位於該些導電條帶堆疊結構的該些第一側壁和該些第二側壁上的該些半導體薄膜,且該些半導體薄膜係彼此分離。
  21. 如申請專利範圍第19項所述之記憶體元件的製作方法,摻雜的步驟包括:摻雜位於該些島的該些第一側壁和該些第二側壁的該隔離結構上的該半導體材料,以形成一第一導電單元,用來連接位於該第一導電條帶堆疊結構的該第一側壁上以及位於該第二導電條帶堆疊結構的該第二側壁上的該些第一導線,以及形成一第二導電單元,用來連接位於該第一導電條帶堆疊結構的該第一側壁上以及位於該第二導電條帶堆疊結構的該第二側壁上的該些第二導線。
  22. 如申請專利範圍第21項所述之記憶體元件的製作方法,包括:形成複數個第一層間連接器,將該複數條輸入線中的多條輸入線分別連接至該些第一導電單元;以及形成多個第二層間連接器,將該複數條輸出線中的多條輸出線分別連接至各自的該些第二導電單元。
  23. 一種記憶體元件,包括:具有複數個記憶胞的一立體記憶胞陣列,用於執行一積項和操作,該立體記憶胞陣列中的該些記憶胞設置在複數條垂直線與複數條水平線的複數個交叉點上,該些記憶胞具有複數個可寫入電導;一閘極驅動器,耦接至複數條閘極線,用來施加複數個控制閘極電壓,以結合該些記憶胞的該些可寫入電導,對應該積項和操作中的複數個乘積項的複數個權重Wxyz;一輸入驅動器,施加電壓至該立體記憶胞陣列中的該些記憶胞,以對應複數個輸入變數Xy;一感測電路,感測來自該立體記憶胞陣列中的該些記憶胞的一電流總和,以對應複數個積項和;複數條輸入線,連接至該輸入驅動器;以及 複數條輸出線,連接至該感測電路,其中該複數條輸出線係與該複數條輸入線直交排列;其中,該複數條垂直線與該複數條水平線的其中一者包括複數條記憶胞本體線,該複數條垂直線與該複數條水平線的另一者包括該些閘極線。
  24. 如申請專利範圍第23項所述之記憶體元件,其中:每一該些記憶胞本體線包括沿著該些記憶胞本體線平行延伸的複數條第一導線和複數條第二導線,以及複數個記憶胞本體;該些記憶胞本體,包括位於該些記憶胞本體與該些閘極線的交叉點上的複數個電荷儲存結構;該些記憶胞本體連接位於該些第一導線與該些第二導線之間,且配置來作為該立體記憶胞陣列中的該些記憶胞的複數個第一源/汲極端、複數個第二源/汲極端以及複數個通道;每一該些閘極線包括配置來作為該立體記憶胞陣列中的該些記憶胞之一者的一控制閘極的一導體,且鄰接該些電荷儲存結構之一者;該複數個輸入線中的多條輸入線,連接至位於複數行記憶胞堆疊結構在一行方向上各自的行中的該些記憶胞本體線中的該些第一導線;以及 該複數條輸出線中的多條輸出線,連接至位於複數列記憶胞堆疊結構在一列方向上各自的列中的該些記憶胞本體線中的該些第二導線,其中該列方向與該行方向直交。
  25. 如申請專利範圍第24項所述之記憶體元件,其中該些記憶胞本體線包括複數個半導體條帶,每一該些半導體條帶具有配置來作為該些第一導線之一者的一第一導電摻雜區、配置來做為該些第二導線之一者的一第二導電摻雜區以及一第三區,位於該第一導電摻雜區和該第二導電摻雜區之間,且具有該些記憶胞的該些通道的一摻雜輪廓。
TW107134159A 2017-11-10 2018-09-27 記憶體元件及其製作方法 TWI696275B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762584356P 2017-11-10 2017-11-10
US62/584,356 2017-11-10
US16/037,281 2018-07-17
US16/037,281 US10777566B2 (en) 2017-11-10 2018-07-17 3D array arranged for memory and in-memory sum-of-products operations

Publications (2)

Publication Number Publication Date
TW201931577A TW201931577A (zh) 2019-08-01
TWI696275B true TWI696275B (zh) 2020-06-11

Family

ID=66433608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107134159A TWI696275B (zh) 2017-11-10 2018-09-27 記憶體元件及其製作方法

Country Status (3)

Country Link
US (1) US10777566B2 (zh)
CN (1) CN109767798B (zh)
TW (1) TWI696275B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180137927A1 (en) * 2016-04-16 2018-05-17 Chengdu Haicun Ip Technology Llc Three-Dimensional Vertical One-Time-Programmable Memory Comprising No Separate Diode Layer
US10777566B2 (en) 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US10552510B2 (en) * 2018-01-11 2020-02-04 Mentium Technologies Inc. Vector-by-matrix multiplier modules based on non-volatile 2D and 3D memory arrays
US10957392B2 (en) 2018-01-17 2021-03-23 Macronix International Co., Ltd. 2D and 3D sum-of-products array for neuromorphic computing system
US10719296B2 (en) 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
US10635398B2 (en) 2018-03-15 2020-04-28 Macronix International Co., Ltd. Voltage sensing type of matrix multiplication method for neuromorphic computing system
KR102055942B1 (ko) * 2018-06-08 2019-12-16 한양대학교 산학협력단 수직형 메모리 소자 및 그의 제조 방법
US11138497B2 (en) 2018-07-17 2021-10-05 Macronix International Co., Ltd In-memory computing devices for neural networks
US11636325B2 (en) 2018-10-24 2023-04-25 Macronix International Co., Ltd. In-memory data pooling for machine learning
TW202030859A (zh) * 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11562229B2 (en) 2018-11-30 2023-01-24 Macronix International Co., Ltd. Convolution accelerator using in-memory computation
US11934480B2 (en) 2018-12-18 2024-03-19 Macronix International Co., Ltd. NAND block architecture for in-memory multiply-and-accumulate operations
KR102554712B1 (ko) * 2019-01-11 2023-07-14 삼성전자주식회사 반도체 소자
US11119674B2 (en) 2019-02-19 2021-09-14 Macronix International Co., Ltd. Memory devices and methods for operating the same
US10783963B1 (en) 2019-03-08 2020-09-22 Macronix International Co., Ltd. In-memory computation device with inter-page and intra-page data circuits
US11132176B2 (en) 2019-03-20 2021-09-28 Macronix International Co., Ltd. Non-volatile computing method in flash memory
US11069704B2 (en) 2019-04-09 2021-07-20 Macronix International Co., Ltd. 3D NOR memory having vertical gate structures
US10910393B2 (en) 2019-04-25 2021-02-02 Macronix International Co., Ltd. 3D NOR memory having vertical source and drain structures
US11211395B2 (en) * 2019-08-30 2021-12-28 Macronix International Co., Ltd. 3D memory array having select lines
US11251199B2 (en) * 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
KR20220146645A (ko) * 2020-03-04 2022-11-01 램 리써치 코포레이션 3-단자 수직 메모리 구조체의 채널 층 보호
US11201169B2 (en) 2020-03-31 2021-12-14 Macronix International Co., Ltd. Memory device and method of fabricating the same
US11839080B2 (en) 2020-05-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D memory with graphite conductive strips
US11574929B2 (en) 2020-05-28 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3D ferroelectric memory
DE102020132373A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. Ferroelektrische speichervorrichtung und deren ausbildungsverfahren
US11710790B2 (en) 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11695073B2 (en) 2020-05-29 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array gate structures
US11985825B2 (en) 2020-06-25 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. 3D memory array contact structures
US11532343B2 (en) * 2020-06-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including dummy regions
US11552103B2 (en) * 2020-06-26 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stackable ferroelectric random access memory devices and methods of forming
US11581337B2 (en) * 2020-06-29 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11729987B2 (en) * 2020-06-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array source/drain electrode structures
US11640974B2 (en) 2020-06-30 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array isolation structures
US11903214B2 (en) * 2020-07-16 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional ferroelectric random access memory devices and methods of forming
US11856781B2 (en) 2020-07-22 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11495618B2 (en) 2020-07-30 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US12022654B2 (en) 2020-11-17 2024-06-25 Macronix International Co., Ltd. Memory device and method of manufacturing the same
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US20220262809A1 (en) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and methods of forming same
US11716856B2 (en) 2021-03-05 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201523838A (zh) * 2013-10-11 2015-06-16 Sharp Kk 半導體裝置
TW201639206A (zh) * 2015-04-28 2016-11-01 旺宏電子股份有限公司 記憶裝置及其製造方法
US9754953B2 (en) * 2011-02-25 2017-09-05 Micron Technology, Inc. Charge storage apparatus and methods
TW201732824A (zh) * 2012-09-06 2017-09-16 東芝股份有限公司 半導體記憶裝置及記憶系統
US20170309634A1 (en) * 2016-04-20 2017-10-26 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method for same
US20170317096A1 (en) * 2013-11-26 2017-11-02 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2619663C3 (de) 1976-05-04 1982-07-22 Siemens AG, 1000 Berlin und 8000 München Feldeffekttransistor, Verfahren zu seinem Betrieb und Verwendung als schneller Schalter sowie in einer integrierten Schaltung
US4987090A (en) 1987-07-02 1991-01-22 Integrated Device Technology, Inc. Static ram cell with trench pull-down transistors and buried-layer ground plate
JP3073645B2 (ja) 1993-12-27 2000-08-07 株式会社東芝 不揮発性半導体記憶装置およびその動作方法
US6107882A (en) 1997-12-11 2000-08-22 Lucent Technologies Inc. Amplifier having improved common mode voltage range
US6960499B2 (en) 1998-02-24 2005-11-01 Texas Instruments Incorporated Dual-counterdoped channel field effect transistor and method
US6313486B1 (en) 2000-06-15 2001-11-06 Board Of Regents, The University Of Texas System Floating gate transistor having buried strained silicon germanium channel layer
US6829598B2 (en) 2000-10-02 2004-12-07 Texas Instruments Incorporated Method and apparatus for modeling a neural synapse function by utilizing a single conventional MOSFET
US6703661B2 (en) 2001-12-27 2004-03-09 Ching-Yuan Wu Contactless NOR-type memory array and its fabrication methods
JP4620943B2 (ja) 2003-10-16 2011-01-26 キヤノン株式会社 積和演算回路及びその方法
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6906940B1 (en) 2004-02-12 2005-06-14 Macronix International Co., Ltd. Plane decoding method and device for three dimensional memories
US20050287793A1 (en) 2004-06-29 2005-12-29 Micron Technology, Inc. Diffusion barrier process for routing polysilicon contacts to a metallization layer
US8058636B2 (en) 2007-03-29 2011-11-15 Panasonic Corporation Variable resistance nonvolatile memory apparatus
KR20090037690A (ko) 2007-10-12 2009-04-16 삼성전자주식회사 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
JP2009266944A (ja) * 2008-04-23 2009-11-12 Toshiba Corp 三次元積層不揮発性半導体メモリ
JP4607256B2 (ja) * 2008-12-18 2011-01-05 パナソニック株式会社 不揮発性記憶装置及びその書き込み方法
US8860124B2 (en) 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
JP5462490B2 (ja) 2009-01-19 2014-04-02 株式会社日立製作所 半導体記憶装置
JP5317742B2 (ja) 2009-02-06 2013-10-16 株式会社東芝 半導体装置
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
US9099181B2 (en) 2009-08-19 2015-08-04 Grandis, Inc. Non-volatile static ram cell circuit and timing method
JP2011065693A (ja) 2009-09-16 2011-03-31 Toshiba Corp 不揮発性半導体記憶装置
US8275728B2 (en) 2009-11-05 2012-09-25 The United States Of America As Represented By The Secretary Of The Air Force Neuromorphic computer
US8311965B2 (en) 2009-11-18 2012-11-13 International Business Machines Corporation Area efficient neuromorphic circuits using field effect transistors (FET) and variable resistance material
IN2012DN06399A (zh) 2010-02-07 2015-10-02 Zeno Semiconductor Inc
US8331127B2 (en) 2010-05-24 2012-12-11 Macronix International Co., Ltd. Nonvolatile memory device having a transistor connected in parallel with a resistance switching device
US20110297912A1 (en) * 2010-06-08 2011-12-08 George Samachisa Non-Volatile Memory Having 3d Array of Read/Write Elements with Vertical Bit Lines and Laterally Aligned Active Elements and Methods Thereof
KR20120006843A (ko) * 2010-07-13 2012-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9342780B2 (en) 2010-07-30 2016-05-17 Hewlett Packard Enterprise Development Lp Systems and methods for modeling binary synapses
US20120044742A1 (en) 2010-08-20 2012-02-23 Micron Technology, Inc. Variable resistance memory array architecture
US8432719B2 (en) 2011-01-18 2013-04-30 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
JP5722180B2 (ja) 2011-09-26 2015-05-20 株式会社日立製作所 不揮発性記憶装置
US9698185B2 (en) 2011-10-13 2017-07-04 Omnivision Technologies, Inc. Partial buried channel transfer device for image sensors
US9430735B1 (en) 2012-02-23 2016-08-30 Micron Technology, Inc. Neural network in a memory device
JP5998521B2 (ja) 2012-02-28 2016-09-28 セイコーエプソン株式会社 不揮発性半導体メモリー及び不揮発性半導体メモリーの製造方法
US8981445B2 (en) 2012-02-28 2015-03-17 Texas Instruments Incorporated Analog floating-gate memory with N-channel and P-channel MOS transistors
US9019771B2 (en) 2012-10-26 2015-04-28 Macronix International Co., Ltd. Dielectric charge trapping memory cells with redundancy
US20140149773A1 (en) 2012-11-29 2014-05-29 Agency For Science, Technology And Research Latch circuit and data processing system
CN103996409B (zh) * 2013-02-16 2016-12-28 旺宏电子股份有限公司 用于改善存储器读取速率的存储器装置及方法
KR20140113024A (ko) 2013-03-15 2014-09-24 에스케이하이닉스 주식회사 저항 변화 메모리 장치 및 그 구동방법
KR102179899B1 (ko) 2013-08-05 2020-11-18 삼성전자주식회사 뉴로모픽 시스템 및 그 구현 방법
US9698156B2 (en) 2015-03-03 2017-07-04 Macronix International Co., Ltd. Vertical thin-channel memory
US9123392B1 (en) * 2014-03-28 2015-09-01 Sandisk 3D Llc Non-volatile 3D memory with cell-selectable word line decoding
US9536969B2 (en) 2014-09-23 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned split gate flash memory
SG11201702791TA (en) 2014-10-15 2017-05-30 Agency Science Tech & Res Flip-flop circuit, method of controlling a flip-flop circuit and memory device
US9431099B2 (en) 2014-11-11 2016-08-30 Snu R&Db Foundation Neuromorphic device with excitatory and inhibitory functionalities
US9356074B1 (en) * 2014-11-17 2016-05-31 Sandisk Technologies Inc. Memory array having divided apart bit lines and partially divided bit line selector switches
KR20160073847A (ko) 2014-12-17 2016-06-27 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9530503B2 (en) * 2015-02-19 2016-12-27 Macronix International Co., Ltd. And-type SGVC architecture for 3D NAND flash
US9524980B2 (en) 2015-03-03 2016-12-20 Macronix International Co., Ltd. U-shaped vertical thin-channel memory
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
KR20160122531A (ko) 2015-04-14 2016-10-24 에스케이하이닉스 주식회사 전자 장치
US9515125B2 (en) * 2015-04-24 2016-12-06 Sony Corporation Socket structure for three-dimensional memory
US9934463B2 (en) 2015-05-15 2018-04-03 Arizona Board Of Regents On Behalf Of Arizona State University Neuromorphic computational system(s) using resistive synaptic devices
CN105718994B (zh) 2015-07-29 2019-02-19 上海磁宇信息科技有限公司 细胞阵列计算系统
KR101701250B1 (ko) 2015-08-03 2017-02-01 서울대학교산학협력단 딥 빌리프 네트워크를 위한 복수 레이어가 적층된 뉴런 어레이 및 뉴런 어레이 동작 방법
US9589982B1 (en) 2015-09-15 2017-03-07 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US10418369B2 (en) * 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
CN115019859B (zh) 2015-11-25 2023-10-31 日升存储公司 存储器结构
US10664748B2 (en) 2016-03-18 2020-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and system using the same
CN105789139B (zh) 2016-03-31 2018-08-28 上海新储集成电路有限公司 一种神经网络芯片的制备方法
CN106409768B (zh) * 2016-04-19 2019-05-31 清华大学 Nand存储器结构、形成方法和三维存储器阵列
KR102508532B1 (ko) * 2016-05-02 2023-03-09 삼성전자주식회사 감지 증폭기 및 이를 포함하는 메모리 장치
US10777566B2 (en) 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US20190244662A1 (en) 2018-02-02 2019-08-08 Macronix International Co., Ltd. Sum-of-products array for neuromorphic computing system
US10719296B2 (en) 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
US10242737B1 (en) 2018-02-13 2019-03-26 Macronix International Co., Ltd. Device structure for neuromorphic computing system
US10635398B2 (en) 2018-03-15 2020-04-28 Macronix International Co., Ltd. Voltage sensing type of matrix multiplication method for neuromorphic computing system
JP7070190B2 (ja) 2018-07-18 2022-05-18 株式会社デンソー ニューラルネットワーク回路

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754953B2 (en) * 2011-02-25 2017-09-05 Micron Technology, Inc. Charge storage apparatus and methods
TW201732824A (zh) * 2012-09-06 2017-09-16 東芝股份有限公司 半導體記憶裝置及記憶系統
TW201523838A (zh) * 2013-10-11 2015-06-16 Sharp Kk 半導體裝置
US20170317096A1 (en) * 2013-11-26 2017-11-02 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device
TW201639206A (zh) * 2015-04-28 2016-11-01 旺宏電子股份有限公司 記憶裝置及其製造方法
US20170309634A1 (en) * 2016-04-20 2017-10-26 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method for same

Also Published As

Publication number Publication date
US20190148393A1 (en) 2019-05-16
TW201931577A (zh) 2019-08-01
CN109767798B (zh) 2021-01-05
US10777566B2 (en) 2020-09-15
CN109767798A (zh) 2019-05-17

Similar Documents

Publication Publication Date Title
TWI696275B (zh) 記憶體元件及其製作方法
TWI712962B (zh) 類神經網絡系統
US10615173B2 (en) Three dimensional semiconductor memory devices
US8507972B2 (en) Nonvolatile semiconductor memory device
US9024374B2 (en) 3D memory array with improved SSL and BL contact layout
KR101975812B1 (ko) 메모리 스트링 내에 다이오드를 구비하는 3차원 어레이의 메모리 구조
US10403637B2 (en) Discrete charge trapping elements for 3D NAND architecture
US9343152B2 (en) Cell array with a manufacturable select gate for a nonvolatile semiconductor memory device
TWI490862B (zh) 改良位元線電容單一性之3d陣列記憶體結構
CN102386188A (zh) 具有二极管于存储串行中的三维阵列存储器架构
US10727243B1 (en) Three dimensional memory device fabricating method and applications thereof
US11069704B2 (en) 3D NOR memory having vertical gate structures
US20170278851A1 (en) Semiconductor memory device
US9786677B1 (en) Memory device having memory cells connected in parallel to common source and drain and method of fabrication
US20110012188A1 (en) Semiconductor memory device
CN111564449B (zh) 存储器元件及其制作方法
US10283519B2 (en) Three dimensional NAND string memory device
TW202109833A (zh) 記憶體裝置及其製造方法
US10622451B1 (en) Flash memory with multiple control gates and flash memory array device made thereof
JP2012256932A (ja) 半導体記憶装置
JP2009099997A (ja) 半導体記憶装置