TWI693661B - 用於裝載埠啟門器的系統、設備及方法 - Google Patents

用於裝載埠啟門器的系統、設備及方法 Download PDF

Info

Publication number
TWI693661B
TWI693661B TW107100015A TW107100015A TWI693661B TW I693661 B TWI693661 B TW I693661B TW 107100015 A TW107100015 A TW 107100015A TW 107100015 A TW107100015 A TW 107100015A TW I693661 B TWI693661 B TW I693661B
Authority
TW
Taiwan
Prior art keywords
port
door opener
purge gas
door
gas inlet
Prior art date
Application number
TW107100015A
Other languages
English (en)
Other versions
TW201838072A (zh
Inventor
大衛T 柏拉尼克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201838072A publication Critical patent/TW201838072A/zh
Application granted granted Critical
Publication of TWI693661B publication Critical patent/TWI693661B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

實施例提供了用於改良的裝載埠的系統、設備及方法,該改良的裝載埠可操作以淨化在基板載體門與載體啟門器之間所俘獲的空氣。實施例包括:對接盤,該對接盤適於接收包括載體門的基板載體;啟門器,該啟門器鄰近該對接盤且適於耦接至該載體門並打開該載體門,其中該啟門器包括:淨化氣體入口埠;至少一個排氣出口埠、及脊壁,該脊壁與對接的基板載體協作界定周邊通道,其中該脊壁包括靠近該等埠的開口,並且其中該啟門器進一步包括轉向器結構,該轉向器結構經設置以將淨化氣體從淨化氣體入口埠引導至該脊壁中的開口中的至少一個開口。 本案揭示了許多額外的態樣。

Description

用於裝載埠啟門器的系統、設備及方法
本申請案主張於2017年2月6日提交且標題為「SYSTEMS, APPARATUS, AND METHODS FOR A LOAD PORT DOOR OPENER」(代理人案號24696/USA)的美國非臨時專利申請案第15/426,037號之優先權,本申請案的全部內容出於全部目的以引用的方式併入本文中。
本申請案係關於電子裝置製造系統,且更具體地關於用於裝載埠啟門器的系統、設備及方法。
設備前端模組(EFEM)(有時稱為生產介面(FI))提供了用於將基板從載體傳送到處理工具的非反應性環境。此舉藉由儘可能密封EFEM的內部容積並利用氣體(诸如,不與基板材料反應的氮氣)注滿內部容積來實現。非反應性氣體迫使任何反應性氣體(诸如,氧氣)離開EFEM。用於對接基板載體的裝載埠附接至EFEM的正面。裝載埠包括啟門器,該啟門器用於從對接在裝載埠上的基板載體移除門。啟門器与載體門之間俘獲的空氣可以滲入EFEM中並可能污染基板。然而,使用先前技術的方法及設備,充分淨化所俘獲的空氣可以是困難、耗時及/或昂貴的。因此,需要便於淨化所俘獲的空氣之用於裝載埠啟門器的系統、設備及方法。
在一些實施例中,提供了一種改良的裝載埠,其可操作以淨化基板載體門與載體啟門器之間俘獲的空氣。實施例包括:對接盤,該對接盤適於接收包括載體門的基板載體;啟門器,該啟門器鄰近該對接盤且適於耦接至該載體門並打開該載體門,其中該啟門器包括:淨化氣體入口埠;至少一個排氣出口埠、及脊壁,該脊壁與對接的基板載體協作界定周邊通道,其中該脊壁包括靠近該等埠的開口,並且其中該啟門器進一步包括轉向器結構,該轉向器結構經設置以將淨化氣體從入口埠引導至該脊壁中的開口中的至少一個開口。
在一些其他實施例中,提供了一種裝載埠啟門器。裝載埠啟門器包括淨化氣體入口埠;至少一個排氣出口埠、及脊壁,該脊壁與對接的基板載體協作界定周邊通道,其中該脊壁包括靠近該等埠的開口,並且其中該啟門器進一步包括轉向器結構,該轉向器結構經設置以將淨化氣體從入口埠引導至脊壁中的開口中的至少一個開口。
在又一些其他實施例中,提供了一種自裝載埠啟門器與基板載體門之間的容積淨化空氣的方法。該方法包括以下步驟:提供包括啟門器的裝載埠,該啟門器具有淨化氣體入口埠、至少一個排氣出口埠、及脊壁,該脊壁與對接的基板載體協作界定周邊通道,該脊壁具有靠近該等埠的開口;將基板載體抵靠啟門器對接,进而將空氣截留在其間的容積中,該容積包括周邊通道;經由淨化氣體入口埠將淨化氣體注入容積中;經由在脊壁中且靠近淨化氣體入口埠的開口將淨化氣體引導至周邊通道中;以及經由至少一個排氣出口埠从容積排出空氣及淨化氣體。
實施例的其他特徵、態樣及優點將由以下實施方式、所附申請專利範圍以及藉由示出數個示例實施例及實施方式的隨附圖式而得以更充分地顯而易見。在均不背離所揭示的實施例的範疇的情況下,實施例亦能夠有其他及不同的應用,並且可能在各式態樣中修改實施例的若干細節。由此,圖式及描述本質上被認為是說明性的,而非限制性的。圖式不一定按比例繪製。
隨著基板(例如,矽晶圓)處理技術具有更小的特徵尺寸而改良為更高的分辨率,來自顆粒、水分及反應性氣體(例如,氧氣(O2 ))的污染變得更成問題。電子裝置製造系統的設備前端模組(EFEM)(有時被稱為生產介面(FI))旨在藉由使用非反應性氣體(諸如,氮氣(N2 ))迫使全部其他氣體(例如,O2 )、水分及其他顆粒離開而為基板產生相對惰性的(亦即,非反應性)環境以防止基板的污染。
EFEM與裝載埠(LP)連接,裝載埠便於將基板從基板載體(如前開式晶圓傳送盒(FOUP))內部遞送到系統的其餘部分。然而,將空氣(例如,包括O2 )自基板載體及EFEM的LP介面淨化掉的先前技術的方法不允許某些俘獲的空氣容積被淨化或利用N2 替代。隨後,這種未淨化的空氣容積污染非反應性環境,並導致必須使用相對大量的N2 流及時間來將O2 PPM濃度降低回到可接受的水平。高純度N2 是昂貴的,且對於具有多個LP及高產量水平的一些EFEM而言,O2 被相對快速地引入先前技術的系統中,且以相對高的淨化速率處理並由此以相對高的成本處理。
此外,不僅歸因於過度使用耗材而使先前技術的方法的成本相對較高,使用先前技術的方法亦顯著增加了基板污染的風險及停機時間。本文所揭示的實施例提供了以簡單且成本有效的方式移除這些俘獲的空氣的容積同時實現更高純度(例如,更高非反應性水平)EFEM的系統、方法、及設備。
實施例提供了淨化以下各處的俘獲的空氣的容積之系統、方法、及設備:(1)在基板載體門的邊緣與基板載體的外壁之間的周邊通道中以及(2)在基板載體門與LP啟門器之間。根據實施例,氮氣穿過LP啟門器中的淨化氣體入口埠注入並穿過LP啟門器中的三個排氣出口埠排出。該等埠位於LP啟門器的角落中。歸因於各種脊壁及其他結構,載體門與先前技術的LP啟門器之間的接觸面產生高氣流阻力的區域,其中有效的流動無法跨將要被淨化以移除俘獲的空氣的容積擴展。藉由將入口及出口埠設置在LP啟門器的角落中並圍繞基板載體門的周邊產生進出通道的開口,實施例允許周邊通道中俘獲的空氣連同載體門與LP啟門器之間的容積中的空氣一起被淨化。
在一些實施例中,藉由將LP啟門器的接觸面上的脊壁的所選擇的部分移除而形成進出通道的開口以允許N2 流進周邊通道、穿過周邊通道並自周邊通道流出。移除靠近淨化氣體入口埠的脊壁的部分及靠近排氣出口埠的脊壁的部分,該排氣出口埠為位於與入口埠沿對角線相對的角落處之三個排氣出口埠中的一個。此外,經由使用轉向器結構,朝向靠近入口埠的脊壁開口部分引導N2 流。轉向器結構部分圍繞注入入口埠並產生高壓區域,該高壓區域將N2 推入待淨化的區域中。
在操作中,隨著N2 行進到低壓排氣出口埠,N2 繞基板載體門的周邊流動,進而淨化空氣/氧氣。實施例提供了埠大小與脊壁中的開口的平衡,以及埠位置、脊壁開口位置、及轉向器結構位置與幾何形狀的平衡,以便使用最小量的淨化氣體在最短時間內優化俘獲的氣體的淨化。此俘獲的空氣的容積之移除使得能夠高效且成本有效地實現針對超高純度N2 環境應用的O2 PPM濃度目標。注意到,構成「超高純度N2 環境」的因素取決於操作人員及EFEM環境的容積以及所用的基板載體的大小及形狀。例如,在一些應用中,超高純度可以是近似1 PPM至近似3 PPM的O2 ,而在其他應用中則小於1 PPM。允許任何未被淨化的空氣進入EFEM的N2 非反應性環境的先前技術替代方案增加了O2 濃度並污染環境。因為在相對大的容積中這些O2 被N2 稀釋,將花費以下等式所近似界定的時間量 T淨化 =(V/Q)*ln(C初始 /C最終 ) 其中V表示EFEM的容積,Q表示去除污染的N2 淨化速率,C初始 表示初始O2 PPM濃度,C最終 表示所得的目標O2 PPM濃度。根據此關係式,使用相對較少量的N2 (在允許O2 進入EFEM中之前)淨化俘獲的空氣的容積的益處是很明顯的。根據以上等式,一旦O2 在更大容積的EFEM中被稀釋,則將耗費相對大量的N2 流及時間來去除相同容積的O2
轉到圖1,圖示了根據一些實施例的示例電子裝置處理系統100的方塊圖。系統100包括耦接至EFEM 104的基板處理工具102。EFEM 104耦接至裝載埠108的背板106。裝載埠108的對接盤110適於支撐可以由裝載埠108的啟門器114打開的基板載體112。圖2描繪了不存在基板載體的裝載埠108的等角視圖。可以看到啟門器114的接觸面面向對接盤110且被背板106框住。圖3描繪了基板載體112的等角視圖,基板載體112包括適於抵靠圖2的啟門器114對接的載體門302。圖4描繪了抵靠裝載埠啟門器114的截面(沿著線A-A)部分定位的基板載體門302(不具有基板載體112的其餘部分)之等角內部視圖。圖5是沿圖4中的線A-A截取的基板載體門302與啟門器114之間的介面的一部分之放大之詳細截面圖。注意到,容積諸如(1)周邊通道502及(2)當基板載體112對接在裝載埠108上時俘獲空氣(包括O2 )的載體門302與啟門器114之間的間隙508。啟門器114的脊壁504接觸載體門302的表面,進而形成由載體門302、脊壁504、及基板載體112的外壁506所界定的容積(亦即,周邊通道502)。間隙508是由啟門器114的主表面、載體門302的主表面界定的容積,且在邊緣上由脊壁504圍繞。
現在轉到圖6及圖7,分別描繪了根據實施例的裝載埠108的示例啟門器114的詳細平面圖及等角視圖。所示啟門器114與基板載體門302接觸。啟門器114包括淨化氣體入口埠602及三個排氣出口埠604、606、608,每個埠設置在啟門器114的不同角落中。啟門器114的周邊包括脊壁504,該脊壁包括三個開口614、616、618。為了便於有效地淨化周邊通道502中以及啟門器114的主表面與載體門302之間空氣的增強流動,開口如圖所示位於沿對角線相對的角落中。靠近淨化氣體入口埠602的轉向器結構620可以成形為類似新月形或弧形,且經設置以將流引導向脊壁504中的開口614,使得氣體被推入周邊通道502中。可以使用其他形狀。轉向器結構620亦有助於改良啟門器114上的其他結構(諸如,載體門固定特徵622、624及載體門閂鎖鑰匙(latch key)位置626、628)周圍的流動。
圖8及圖9是分別對應於圖6及圖7並示出藉由佈置埠602、604、606、608及脊壁開口614、616、618所產生的示例流動圖案的線框圖。多個箭頭802表示氣流。轉向器結構620的影響由從淨化氣體入口埠602穿過脊壁開口614流入周邊通道502中的流動示出。藉由高壓將氣體推入周邊通道502中,並且藉由來自啟門器114的相對角落處的排氣出口埠606的低壓將氣體自周邊通道502拉出。
在一些實施例中,在啟門器114與載體門302之間待淨化的總容積在近似0.1 L至近似0.2 L的範圍內。將淨化氣體(例如,N2 )經由淨化氣體入口埠602注入容積中的速率是在近似1.0 LPM至近似10.0 LPM的範圍內。從每個排氣出口埠604、606、608流出的速率在近似0.1 LPM至近似10.0 LPM的範圍內。在一些實施例中,淨化為了容納能夠固持13至25個基板的基板載體而設計的裝載埠之容積所花費的時間在近似5秒至近似1.5分鐘的範圍內。在一些實施例中,淨化氣體入口埠602的直徑在近似0.125英吋至近似0.5英吋的範圍內。在一些實施例中,脊壁中的開口的大小在近似0.15英吋至近似2.0英吋的範圍內。在一些實施例中,周邊通道的寬度及深度在近似0.1英吋至近似1.5英吋的範圍內。其他速率、大小、尺寸、時間、及值是可能的。
現在轉到圖10,描繪了描繪淨化裝載埠啟門器與基板載體門之間的容積的示例方法1000的流程圖。提供了一種裝載埠,其包括啟門器,該啟門器具有淨化氣體入口埠、至少一個排氣出口埠、轉向器結構、及脊壁,該脊壁與對接的基板載體協作界定周邊通道,其中該脊壁包括靠近該等埠的開口(1002)。將基板載體抵靠啟門器對接,進而將空氣截留在其間的容積中,該容積包括周邊通道(1004)。隨後,將淨化氣體(例如,N2 )經由淨化氣體入口埠注入容積中(1006)。藉由轉向器結構將淨化氣體經由在脊壁中且靠近淨化氣體入口埠的開口引導至周邊通道中(1008)。經由至少一個排氣出口埠將空氣及淨化氣體自容積中排出(1010)。
提供以下示例計算來說明所揭示的系統的實施例相對於先前技術的方法及設備的益處。考慮到具有三個裝載埠的系統,每個裝載埠具有待從裝載埠啟門器與基板載體門之間淨化的0.13 L俘獲的空氣的容積。示例系統包括容積為1700 L的EFEM。 EFEM容積: V_FI:=1700L
Figure 02_image001
總莫耳數 俘獲的空氣容積: V_space :=.13L
Figure 02_image003
3個0.13 L的未淨化容積中的O2 的莫耳數
Figure 02_image005
來自未淨化的空間的O2 的PPM影響 使用先前技術方法,藉由在使俘獲的空氣滲入EFEM中之後淨化整個EFEM容積來處理O2 污染以將EFEM容積中47.947 PPM的O2 減少到10 PPM,可以150 LPM的速率注入N2 達0.3小時(例如,為2700 L的N2 ),或者可以1000 LPM的速率注入N2 達0.05小時(為3000 L的N2 )。在圖11的曲線1100中描繪了淨化示例EFEM容積的流動速率與時間之間的關係。即使假設理想擴散,淨化速率及時間的變化也受到對數關係的影響。然而,擴散不會是理想的,所以以上計算及曲線1100表示最好情況下的消耗情境。
接下來考慮到使用本文所揭示的實施例的方法及設備。為了將0.13 L的空氣從三個基板載體門的各者與三個裝載埠的載體啟門器之間移除,僅以5 LPM的速率同時將N2 注入每個裝載埠中達0.005小時,每個裝載埠僅有1.5 L的N2 。0.13 L的俘獲的容積的開始濃度為209,000 PPM O2 (為標準ATM空氣中的O2 濃度)。在圖12的曲線1200中描繪了淨化示例俘獲的空氣的容積之流動速率與時間之間的關係。因此,為了使用本實施例的方法及設備來消除相同容積的氧氣,藉由在允許O2 滲入EFEM中之前淨化,使用了原本時間的一部分且成本減少了99.95%。
許多實施例在本揭示內容中描述,且僅出於說明性目的而呈現。所描述的實施例不在任何意義上作為限制且不意欲在任何意義上作為限制。如從本揭示內容中顯而易見,本文所揭示的實施例廣泛適用於許多其他實施例。所屬領域中具有通常知識者將認識到,所揭示的實施例可能以各種修改及替換(諸如結構、邏輯、軟體及電學上的修改)來實踐。儘管可能參考一個或多個特定實施例及/或圖式來描述所揭示的實施例的特定特徵,應當理解的是,除非另有明確說明,此等特徵不限於在描述它們所參考的一個或多個特定實施例或圖式中使用。
本揭示內容既非全部實施例的字面描述,亦非在全部實施例中必須存在的實施例的特徵列表。對於所屬領域中具有通常知識者而言,本揭示內容提供了實現對若干實施例的描述。這些實施例中的一些可能未在本申請案中主張,但仍可能在主張本申請案的優先權的權益的一個或多個連續申請案中被主張。
以上描述僅揭示了示例實施例。落入本申請專利範圍的範疇之內的上文所揭示的設備、系統及方法之修改將對所屬領域中具有通常知識者顯而易見。由此,儘管已經結合其實例揭示了實施例,應當理解的是,其他實施例可能落入由以下申請專利範圍所界定的意欲之精神及範疇內。
100‧‧‧電子裝置處理系統102‧‧‧基板處理工具104‧‧‧EFEM106‧‧‧背板108‧‧‧裝載埠110‧‧‧對接盤112‧‧‧基板載體114‧‧‧啟門器302‧‧‧基板載體門502‧‧‧周邊通道504‧‧‧脊壁506‧‧‧外壁508‧‧‧間隙602‧‧‧淨化氣體入口埠604‧‧‧排氣出口埠606‧‧‧排氣出口埠608‧‧‧排氣出口埠614‧‧‧開口616‧‧‧開口618‧‧‧開口620‧‧‧轉向器結構622‧‧‧載體門固定特徵624‧‧‧載體門固定特徵626‧‧‧載體門閂鎖鑰匙位置628‧‧‧載體門閂鎖鑰匙位置802‧‧‧箭頭1000‧‧‧方法1002‧‧‧步驟1004‧‧‧步驟1006‧‧‧步驟1008‧‧‧步驟1010‧‧‧步驟1100‧‧‧曲線1200‧‧‧曲線
圖1圖示根據一些實施例描繪電子裝置處理系統的實例的方塊圖。
圖2圖示根據一些實施例描繪示範裝載埠的等角正視圖。
圖3圖示根據一些實施例描繪示範基板載體的等角視圖。
圖4圖示根據一些實施例描繪抵靠裝載埠啟門器的截面部分之示範基板載體門的等距等角視圖。
圖5圖示根據一些實施例描繪在基板載體門和裝載埠的啟門器之間的介面之截面圖。
圖6圖示根據一些實施例描繪裝載埠啟門器的一部分的細節的平面圖。
圖7圖示根據一些實施例描繪裝載埠啟門器的一部分的細節的等角視圖。
圖8圖示根據一些實施例描繪基板載體門和裝載埠啟門器之間的氣流的細節的平面線框圖。
圖9圖示根據一些實施例描繪基板載體門和裝載埠啟門器之間的氣流的細節的等角線框視圖。
圖10圖示根據一些實施例的示例方法的流程圖。
圖11和圖12圖示描繪先前技術系統和方法相對於本案揭露的實施例之時間和淨化氣體消耗的相對差異的線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
114‧‧‧啟門器
302‧‧‧基板載體門
502‧‧‧周邊通道
602‧‧‧淨化氣體入口埠
604‧‧‧排氣出口埠
606‧‧‧排氣出口埠
608‧‧‧排氣出口埠
614‧‧‧開口
616‧‧‧開口
618‧‧‧開口
620‧‧‧轉向器結構
622‧‧‧載體門固定特徵
624‧‧‧載體門固定特徵
626‧‧‧載體門閂鎖鑰匙位置
628‧‧‧載體門閂鎖鑰匙位置

Claims (22)

  1. 一種裝載埠系統,包含:一對接盤,該對接盤適於接收包括一載體門的一基板載體,該載體門具有一載體門外表面;一啟門器,該啟門器鄰近該對接盤且適於打開該載體門,該啟門器具有一啟門器外表面,該啟門器外表面面向該載體門外表面及同時接收在該對接盤上,其中該啟門器包括:一淨化氣體入口埠;至少一個排氣出口埠,及一脊壁,該脊壁從該啟門器外表面延伸並沿著該啟門器的一周邊延伸,該脊壁包括靠近該淨化氣體埠和該至少一個排氣出口埠的多個開口,該脊壁在與該載體門外表面接觸時界定一周邊通道,該周邊通道沿著該啟門器的整個該周邊延伸且合併到該淨化氣體入口埠和該至少一個排氣出口埠中。
  2. 如請求項1所述之裝載埠系統,其中該啟門器進一步包括一轉向器結構,該轉向器結構經設置以將淨化氣體從該淨化氣體入口埠引導至該脊壁中的該等開口中的至少一個開口。
  3. 如請求項2所述之裝載埠系統,其中該轉向器結構是一新月形。
  4. 如請求項2所述之裝載埠系統,其中該轉向器結構、該淨化氣體入口埠、該至少一個排氣出口埠、及該脊壁中的該等開口經定位及尺寸調整以產生穿過該周邊通道的一流。
  5. 如請求項1所述之裝載埠系統,其中該啟門器包括三個排氣出口埠。
  6. 如請求項5所述之裝載埠系統,其中該淨化氣體入口埠和該等三個排氣出口埠各自位於該啟門器的一不同的角落中。
  7. 如請求項1所述之裝載埠系統,其中該淨化氣體入口埠及該至少一個排氣出口埠中的一者經設置在該啟門器的沿對角線相對的角落中。
  8. 如請求項1所述之裝載埠系統,其中該周邊通道的一寬度及一深度在近似0.1英吋至近似1.5英吋的一範圍內。
  9. 如請求項1所述之裝載埠系統,其中該脊壁中的該開口的一大小在近似0.15英吋至近似2.0英吋的一範圍內。
  10. 一種裝載埠啟門器,包含:一脊壁,該脊壁從一啟門器外表面延伸並沿著該裝載埠啟門器的一周邊延伸,該脊壁與一對接的基板載體協作界定一周邊通道及一間隙兩者,該周邊通道及 該間隙經界定於該脊壁的相對側上且該間隙具有比該周邊通道的容積更大的容積;一淨化氣體入口埠,該淨化氣體入口埠經定位在該間隙內;至少一個排氣出口埠,該至少一個排氣出口埠經定位在該間隙內;在該脊壁內的多個開口,該多個開口靠近耦接該周邊通道至該間隙之該淨化氣體埠和該至少一個排氣出口埠,該周邊通道沿著該脊壁的一整個周邊延伸且合併到該淨化氣體入口埠和該至少一個排氣出口埠中。
  11. 如請求項10所述之裝載埠啟門器,進一步包括一轉向器結構,該轉向器結構經設置以將淨化氣體從該淨化氣體入口埠引導至該脊壁中的該等開口中的至少一個開口。
  12. 如請求項11所述之裝載埠啟門器,其中該轉向器結構是一新月形。
  13. 如請求項11所述之裝載埠啟門器,其中該轉向器結構、該淨化氣體入口埠、該至少一個排氣出口埠、及該脊壁中的該等開口經定位及尺寸調整以產生穿過該周邊通道的一流。
  14. 如請求項10所述之裝載埠啟門器,其中該至少一個排氣出口埠包括三個排氣出口埠。
  15. 如請求項14所述之裝載埠啟門器,其中該淨化氣體入口埠及該等三個排氣出口埠各自位於該裝載埠啟門器的一不同的角落中。
  16. 如請求項10所述之裝載埠啟門器,其中該淨化氣體入口埠及該至少一個排氣出口埠中的一者經設置在該裝載埠啟門器的沿對角線相對的角落中。
  17. 一種淨化一裝載埠啟門器與一基板載體門之間的一容積的方法,該方法包含以下步驟:提供包括一啟門器的一裝載埠,該啟門器具有一啟門器外表面、一淨化氣體入口埠、至少一個排氣出口埠、及一脊壁,該脊壁從該啟門器外表面延伸及從該啟門器的一周邊延伸,該脊壁包括多個開口,該多個開口靠近該淨化氣體埠和該至少一個排氣出口埠,該脊壁界定一周邊通道,該周邊通道沿著該啟門器的整個該周邊延伸且合併到該淨化氣體入口埠和該至少一個排氣出口埠中;將一基板載體抵靠該啟門器對接,進而將空氣截留在其間的一容積中,該容積包含該周邊通道;經由該淨化氣體入口埠將淨化氣體注入該容積中;經由在該脊壁中且靠近該淨化氣體入口埠的一開口將該淨化氣體引導至該周邊通道中;以及經由該至少一個排氣出口埠自該容積排出該空氣及 該淨化氣體。
  18. 如請求項17所述之方法,其中提供一裝載埠包括提供具有一轉向器結構的一裝載埠啟門器。
  19. 如請求項18所述之方法,其中引導該淨化氣體包括使用該轉向器結構產生鄰近該脊壁中的一開口的一高壓區域。
  20. 如請求項19所述之方法,其中排出該空氣及該淨化氣體包括靠近與該轉向器結構沿對角線相對的該脊壁中的至少一個開口設置該至少一個排氣出口埠。
  21. 如請求項18所述之方法,其中該轉向器結構、該淨化氣體入口埠、該至少一個排氣出口埠、及該脊壁中的該等開口經定位及尺寸調整以產生穿過該周邊通道的一流。
  22. 如請求項17所述之方法,其中注入淨化氣體包括使一預定量的氮氣以一預定的速率流過該淨化氣體入口埠。
TW107100015A 2017-02-06 2018-01-02 用於裝載埠啟門器的系統、設備及方法 TWI693661B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/426,037 US10741432B2 (en) 2017-02-06 2017-02-06 Systems, apparatus, and methods for a load port door opener
US15/426,037 2017-02-06

Publications (2)

Publication Number Publication Date
TW201838072A TW201838072A (zh) 2018-10-16
TWI693661B true TWI693661B (zh) 2020-05-11

Family

ID=63037966

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107100015A TWI693661B (zh) 2017-02-06 2018-01-02 用於裝載埠啟門器的系統、設備及方法

Country Status (6)

Country Link
US (1) US10741432B2 (zh)
JP (1) JP6928661B2 (zh)
KR (1) KR102324676B1 (zh)
CN (1) CN110226223B (zh)
TW (1) TWI693661B (zh)
WO (1) WO2018144176A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
KR102423761B1 (ko) 2017-06-23 2022-07-20 어플라이드 머티어리얼스, 인코포레이티드 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US10923375B2 (en) 2018-11-28 2021-02-16 Brooks Automation, Inc. Load port module
TWI796191B (zh) * 2022-03-31 2023-03-11 華景電通股份有限公司 晶圓盒承載設備及複合式載座

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201240006A (en) * 2011-03-16 2012-10-01 Tokyo Electron Ltd Lid opening and closing device

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2693305A (en) * 1949-02-17 1954-11-02 Continental Can Co Apparatus for removing air from the head spaces of filled cans
SE467619B (sv) * 1987-10-15 1992-08-17 Plm Ab Saett och anordning foer att vakuumfoersluta en behaallare
KR100303075B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
JP4535477B2 (ja) * 2000-09-19 2010-09-01 大成建設株式会社 クリーンルーム及び半導体デバイスもしくは液晶ディスプレーの製造方法
JP3939101B2 (ja) * 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
KR100396468B1 (ko) * 2001-05-17 2003-09-02 삼성전자주식회사 공기 샘플링 캐리어와 공기 분석장치 및 방법
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6922867B1 (en) * 2001-08-08 2005-08-02 Lam Research Corporation Two position robot design for FOUP purge
JP2003146429A (ja) * 2001-11-16 2003-05-21 St Lcd Kk キャリア受け渡し方法及びキャリア受け渡し装置
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
CN1618716B (zh) * 2003-11-12 2011-03-16 周星工程股份有限公司 装载锁及使用其的装载锁腔室
KR100583726B1 (ko) 2003-11-12 2006-05-25 삼성전자주식회사 기판 처리 장치 및 기판 처리 방법
US9010384B2 (en) * 2004-06-21 2015-04-21 Right Mfg. Co. Ltd. Load port
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
JP4891538B2 (ja) * 2004-11-04 2012-03-07 株式会社日立ハイテクノロジーズ ロードポート
JP4301456B2 (ja) 2005-11-30 2009-07-22 Tdk株式会社 密閉容器の蓋開閉システム
JP5105334B2 (ja) 2006-01-11 2012-12-26 アプライド マテリアルズ インコーポレイテッド 基板キャリヤをパージするための方法及び装置
ITBO20060249A1 (it) * 2006-04-05 2007-10-06 Awax Progettazione Apparato e macchina per confezionare vaschette di prodotti in atmosfera modificata.
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
JP4309935B2 (ja) 2007-07-31 2009-08-05 Tdk株式会社 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
WO2009055612A1 (en) 2007-10-27 2009-04-30 Applied Materials, Inc. Sealed substrate carriers and systems and methods for transporting substrates
US20110114129A1 (en) 2007-12-18 2011-05-19 Entegris, Inc. Methods and apparatuses for controlling contamination of substrates
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
TWI426861B (zh) * 2011-04-08 2014-02-11 Sunonwealth Electr Mach Ind Co 具有水平對流扇之散熱系統
JP5887719B2 (ja) * 2011-05-31 2016-03-16 シンフォニアテクノロジー株式会社 パージ装置、ロードポート、ボトムパージノズル本体、ボトムパージユニット
GB2505647A (en) * 2012-09-05 2014-03-12 Pva Tepla Ag A vacuum processing apparatus which neutralises plasma in a gas flow path
JP6268425B2 (ja) * 2013-07-16 2018-01-31 シンフォニアテクノロジー株式会社 Efem、ロードポート、ウェーハ搬送方法
CN204144233U (zh) * 2013-08-07 2015-02-04 应用材料公司 基板支撑载体和用于处理基板的系统
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
DE102013109210A1 (de) * 2013-08-20 2015-02-26 Aixtron Se Evakuierbare Kammer, insbesondere mit einem Spülgas spülbare Beladeschleuse
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI708309B (zh) 2015-08-04 2020-10-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201240006A (en) * 2011-03-16 2012-10-01 Tokyo Electron Ltd Lid opening and closing device

Also Published As

Publication number Publication date
US20180226284A1 (en) 2018-08-09
JP6928661B2 (ja) 2021-09-01
US10741432B2 (en) 2020-08-11
CN110226223A (zh) 2019-09-10
KR20190104467A (ko) 2019-09-09
CN110226223B (zh) 2023-04-04
WO2018144176A1 (en) 2018-08-09
JP2020506550A (ja) 2020-02-27
KR102324676B1 (ko) 2021-11-09
TW201838072A (zh) 2018-10-16

Similar Documents

Publication Publication Date Title
TWI693661B (zh) 用於裝載埠啟門器的系統、設備及方法
KR100989887B1 (ko) 웨이퍼 잔존가스 제거장치
JP2009038073A (ja) 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
WO2007088940A1 (ja) 減圧処理装置
JP2009164369A (ja) 被収容物搬送システム
KR20140000265A (ko) 로드록 장치
TW202023932A (zh) 側面儲存盒、設備前端模組、及用於操作efem的方法
KR20180001999A (ko) 개선된 기판 스토리지 및 프로세싱
JP2013143558A5 (zh)
JP4472005B2 (ja) 真空処理装置及び真空処理方法
JP5224567B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
JPH05275382A (ja) 真空装置
JP2009213958A (ja) 基板処理装置
JP4790326B2 (ja) 処理システム及び処理方法
JP2009065068A (ja) 基板処理装置、基板処理装置の汚染抑制方法及び記憶媒体
JP5517372B2 (ja) 真空処理装置
JP2007280885A (ja) プラズマ処理装置
JP5456804B2 (ja) 搬送容器
JP2003109994A (ja) 基板処理装置
JP6027837B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5897832B2 (ja) 大気圧プラズマ処理システム
CN105575849B (zh) 基板处理装置
JP2009218505A (ja) アンロードチャンバ及びその運転方法
WO2022239538A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP5731663B2 (ja) 真空処理装置