TWI680505B - 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法 - Google Patents

具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法 Download PDF

Info

Publication number
TWI680505B
TWI680505B TW106131058A TW106131058A TWI680505B TW I680505 B TWI680505 B TW I680505B TW 106131058 A TW106131058 A TW 106131058A TW 106131058 A TW106131058 A TW 106131058A TW I680505 B TWI680505 B TW I680505B
Authority
TW
Taiwan
Prior art keywords
layer
gate
electrode layer
nano
substrate
Prior art date
Application number
TW106131058A
Other languages
English (en)
Other versions
TW201905989A (zh
Inventor
呂俊頡
Chun Chieh Lu
皮爾 柯林 珍
Jean-Pierre Colinge
後藤賢一
Ken-Ichi Goto
吳志強
Zhi-qiang WU
林佑明
Yu Ming Lin
Original Assignee
台灣積體電路製造股份有限公司
Taiwan Semiconductor Manufacturing Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, Taiwan Semiconductor Manufacturing Co., Ltd. filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905989A publication Critical patent/TW201905989A/zh
Application granted granted Critical
Publication of TWI680505B publication Critical patent/TWI680505B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/12Deposition of organic active material using liquid deposition, e.g. spin coating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/491Vertical transistors, e.g. vertical carbon nanotube field effect transistors [CNT-FETs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02606Nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/468Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics
    • H10K10/472Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics the gate dielectric comprising only inorganic materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/481Insulated gate field-effect transistors [IGFETs] characterised by the gate conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/484Insulated gate field-effect transistors [IGFETs] characterised by the channel regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/80Constructional details
    • H10K10/82Electrodes
    • H10K10/84Ohmic electrodes, e.g. source or drain electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在製造環繞式閘極場效電晶體的方法中,形成溝槽於基板上方。排列複數個奈米管結構於溝槽中,各奈米管結構包括具有包覆奈米碳管(carbon nanotube;CNT)之閘極介電層的CNT及在閘極介電層上方的閘電極層。形成錨固層於溝槽中。在源極/汲極(source/drain;S/D)區域移除錨固層之部分。在S/D區域移除閘電極層及閘極介電層,進而暴露S/D區域處之CNT之部分。S/D電極層形成於CNT之暴露部分上。移除在閘極區域之錨固層的部分,進而暴露閘極結構之閘電極層的部分。閘極接觸層形成於閘電極層之暴露部分上。

Description

具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法
本發明實施例係關於製造半導體積體電路之方法,特別係關於包括使用奈米碳管(carbon nanotube;CNT)之環繞式閘極(gate-all-around;GAA)場效電晶體(field effect transistor;FET)的半導體裝置及其製造方法。
隨著半導體工業朝向奈米技術製程節點發展以追求更高裝置密度、更高效能及更低成本的同時,在例如GAA結構之三維設計之發展過程中會碰到由製造及設計問題所引發的挑戰。非矽基低維材料為提供優良靜電性(例如,針對短通道效應)及更高效能(例如,更少表面散射) 的有前景之候選。由於奈米碳管(CNT)具有高載流子遷移率及大致上的一維結構,其被視為此類有前景之候選中的其中一者。
本揭示案提供一種形成環繞式閘極場效電晶體之閘極結構的方法,方法包含:設置奈米碳管於基板上方;形成錨固結構於設置在基板上方的奈米碳管的兩端上;在形成錨固結構之後,凹槽化在奈米碳管下之基板的一部分;在凹槽化之後,形成閘極介電層包覆奈米碳管及形成閘電極層於閘極介電層上方;以及自基板移除帶有閘極介電層及閘電極層之奈米碳管,進而形成閘極結構。
本揭示案提供一種製造環繞式閘極場效電晶體之方法,方法包含:形成溝槽於基板上方;引入複數個奈米管結構於溝槽中,各奈米管結構包括奈米碳管,奈米碳管帶有包覆在奈米碳管周圍之閘極介電層,以及在閘極介電層上方之閘電極層;在溝槽中形成錨固層;在源極/汲極區域移除錨固層之一部分;移除在源極/汲極區域的閘電極層及閘極介電層,進而將奈米碳管之一部分暴露於源極/汲極區域;在奈米碳管之暴露部分上形成源極/汲極電極層;在閘極區域移除錨固層之一部分,進而暴露閘極結構之閘電極層的一部分;以及在閘電極層暴露的部分上形成閘極接觸層。
本揭示案提供一種具有環繞式閘極場效電晶體之半導體裝置,其包含:複數個奈米管結構設置於形成於基 板上方之溝槽中,其中:各奈米管結構包括奈米碳管,各奈米管結構之兩端嵌入於錨固層中,一閘極結構由奈米管結構之一部分組成,其包括帶有包覆奈米碳管之閘極介電層及在閘極介電層上方之閘電極層的奈米碳管,以及一源極/汲極由奈米管結構之一部分組成,其中奈米碳管係暴露的,直接接觸源極/汲極電極層,並且被源極/汲極電極層包覆。
10‧‧‧基板
14‧‧‧第一絕緣層
16‧‧‧第二絕緣層
18‧‧‧錨固層
20‧‧‧第三絕緣層
30‧‧‧第一間隙壁層
35‧‧‧源極/汲極電極層(source/drain;S/D)
40‧‧‧第一蝕刻停止層(etch stop layer;ESL)
45‧‧‧第四絕緣層
50‧‧‧閘極接觸層
55‧‧‧第二ESL
60‧‧‧S/D接觸層
100‧‧‧奈米碳管(carbon nanotube;CNT)
105‧‧‧介面層
110‧‧‧閘極介電層
115‧‧‧閘電極層
120‧‧‧CNT結構
200‧‧‧基板
210‧‧‧中間層
220‧‧‧光阻圖案
230‧‧‧錨固結構、錨部分
240‧‧‧光阻圖案
250‧‧‧容器
255‧‧‧液體
260‧‧‧儲存器
265‧‧‧液體
300‧‧‧第一遮罩圖案
305‧‧‧溝槽
400‧‧‧硬遮罩層
405‧‧‧遮罩圖案
415‧‧‧S/D空隙
420‧‧‧凹口
425‧‧‧遮罩圖案
430‧‧‧一或多個開口
435‧‧‧閘極開口
440‧‧‧遮罩圖案
445‧‧‧開口
A1‧‧‧區域
D11‧‧‧深度
L31‧‧‧長度
W11、W12、W21、W22、W31‧‧‧寬度
Y1-Y1、Y2-Y2‧‧‧線
當結合附圖閱讀時,自以下詳細描述很好地理解本揭示案之態樣。應當注意,根據工業中標準實務,各特徵未按比例繪製。事實上,為論述清楚,各特徵之大小可任意地增加或縮小。
第1A圖為根據本揭示案之實施例之GAA FET的橫截面視圖。第1B圖為對應於第1A圖之區域A1的放大橫截面視圖。第1C圖為根據本揭示案之實施例之CNT部分的橫截面視圖。第1D圖為對應於第1A圖之線Y1-Y1截取的橫截面視圖及第1E圖為對應於第1A圖之線Y2-Y2截取之橫截面視圖。
第2A圖、第2B圖、第2C圖、第2D圖、第2E圖、第2F圖、第2G圖、第2H圖、第2I圖、第2J圖、第2K圖、第2L圖及第2M圖圖示了根據本揭示案之實施例之CNT閘極結構的依序製造製程的各個階段。
第3A圖、第3B圖、第3C圖、第3D圖、第3E圖、第3F圖、第3G圖及第3H圖圖示了根據本揭示案之實施例之GAA FET的依序製造製程的各個階段。
第3I圖、第3J圖及第3K圖圖示了根據本揭示案之各實施例之CNT閘極結構在溝槽中的各種佈置。
第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖、第4G圖、第4H圖、第4I圖、第4J圖、第4K圖、第4L圖、第4M圖、第4N圖、第4O圖、第4P圖、第4Q圖、第4R圖及第4S圖圖示了根據本揭示案之實施例之GAA FET的依序製造製程的各個階段。
應理解,以下揭示案提供許多不同實施例或實例,以實現本發明實施例之不同特徵。下文描述組件及排列之特定之實施例以簡化本揭示案。當然,此等僅僅為實例且不意指限制。例如,元件之尺寸並不限於所揭示之範圍或數值,但可取決於裝置之製程條件及/或所要性質。此外,在隨後描述中在第二特徵上方或在第二特徵上第一特徵之形成可包括第一及第二特徵形成為直接接觸之實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施例。為了簡明及清晰考慮,各特徵可任意以不同比例繪製。為簡化起見,在隨附圖表中,一些層/特徵可略去。
另外,空間相對術語,例如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除圖形中描繪之方向外,空間相對術語意圖是包含裝置在使用或操作中之不同的方向。裝置可為不同之朝向(旋轉90度或在其他的方向)及在此使用之空間相關的描述詞可因此同樣地解釋。另外,術語「由...組成」可意謂「包含」或「組成」。另外,在以下製造製程中,在所述操作中/之間可存在一或多個額外操作,且可改變操作之順序。
在一些實施例中,半導體裝置包括含有複數個堆疊的環繞式閘極(GAA)奈米碳管(CNT)的場效電晶體的新穎結構。半導體裝置包括排列整齊的CNT陣列,這些CNT上帶有包覆於其周圍的閘極介電層及閘電極層。在先進技術節點中,具有CNT之GAA FET可應用於邏輯電路。然而,製造CNT基(CNT-based)裝置會導致一些問題產生,例如增大CNT密度以獲得更高電流、防止使CNT效能退化的管間交互作用以及/或是缺少可行製造製程以將高密度GAA CNT合成進電路中的這些困難。以下實施例將提供使用CNT之GAA FET及可解決這些問題之其製造過程。
第1A圖為根據本揭示案之實施例之GAA FET的橫截面視圖。第1B圖為對應於第1A圖之區域A1的放大橫截面視圖。第1C圖為根據本揭示案之實施例之CNT部分的橫截面視圖。第1D圖為對應於第1A圖之線Y1-Y1截取的橫 截面視圖及第1E圖為對應於第1A圖之線Y2-Y2截取之橫截面視圖。
GAA FET設置在基板10上方。一或多個溝槽(見在第3C圖中圖示之305)設置在基板10上方,基板10中設置CNT結構120。溝槽具有由第一絕緣層14及第二絕緣層16組成之壁。溝槽具有在X方向上之寬度W11、在Y方向上之寬度W12及在Z方向上之深度D11。寬度W11在一些實施例中在在約50奈米至約2000奈米之範圍中及在其他實施例中在自約100奈米至約1000奈米的範圍中。寬度W12在一些實施例中在自約10奈米至約100奈米之範圍中及在其他實施例中在自約20奈米至50奈米之範圍中。深度D11在一些實施例中在自約20奈米至約200奈米之範圍中及在其他實施例中在自約40奈米至約100奈米之範圍中。第二絕緣層16為可選的並且不在某些實施例中使用。
在一些實施例中,基板10可由適宜之元素半導體組成,例如矽、金剛石或鍺;適宜合金或化合物半導體,例如IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、GeSn、SiSn、SiGeSn)、III-V族化合物半導體(例如,砷化鎵(GaAs)、砷化鎵銦(InGaAs)、砷化銦(InAs)、磷化銦(InP)、銻化銦(InSb)、鎵砷磷(GaAsP)或磷化銦鎵(GaInP))等。絕緣材料,例如玻璃,可作為基板。在一些實施例中,第一絕緣層14包括氧化矽基材料,例如SiO2或其他適宜絕緣材料及第二絕緣層16由不同於第 一絕緣層14之材料組成並包括氮化矽基材料,例如SiN或其他適宜絕緣材料。
CNT結構120在溝槽中堆疊及由錨固層(anchor layer)18固定在CNT結構120之兩端處。錨固層18包括選自由多晶矽、非晶矽、氧化矽(SiO2)、氮化矽(SiN)及氮氧化矽(SiON)或任意其他適宜材料所組成之群組中的一個或多個。錨固層18在一些實施例中為導電的,在其他實施例中則為絕緣的。在一個實施例中,以無摻雜多晶矽作為錨固層。每個溝槽中之CNT結構120的總數在一些實施例中在自約20至約200的範圍中。
每個CNT結構120包括作為核心結構之奈米碳管(CNT)100及具有一或多個閘極部分、一或多個源極/汲極(source/drain;S/D)部分及錨部分。在閘極部分及錨部分處,CNT 100被介面層105及閘極介電層110包覆。另外,閘電極層115形成於閘極介電層110上。
在一些實施例中,CNT100之直徑在自約1.0奈米至約2.0奈米的範圍中。介面層105在一些實施例由例如SiO2組成並具有在自約0.5奈米至約1.5奈米之範圍中的厚度。在其他實施例中,介面層105之厚度在自約0.6奈米至約1.0奈米的範圍中。
在一些實施例中閘極介電層110由SiO2組成。在其他實施例中,閘極介電層110包括具有大於SiO2之介電常數的一或多層高介電常數介電層。例如,閘極介電層110可包括Hf、Al、Zr、其組合及其多層之金屬氧化物或矽酸鹽的一或多個層。其他適宜材料包括La、Mg、Ba、Ti、Pb、Zr、其金屬氧化物、其金屬合金氧化物或其組合。示範性材料包括MgOx、BaTixOy、BaSrxTiyOz、PbTixOy、PbZrxTiyOz、SiCN、SiON、SiN、Al2O3、La2O3、Ta2O3、Y2O3、HfO2、ZrO2、HfSiON、YGexOy、YSixOy及LaAlO3等等。閘極介電層可由p通道FET及n通道FET之不同材料組成。在一些實施例中,用於n通道FET時,閘極介電層110由HfO2組成,用於p通道FET時,閘極介電層110則由Al2O3組成。閘極介電層110在一些實施例中具有在自約0.5奈米至約2.5奈米的範圍中的厚度,在其他實施例中則具有在自約1.0奈米至約2.0奈米的範圍中的厚度。
閘電極層115包括選自由W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt及Zr所組成之群組中的一或多個導電材料。在一些實施例中,閘電極層115包括選自由TiN、WN、TaN及Ru所組成之群組中的導電材料。可使用例如Ti-Al、Ru-Ta、Ru-Zr、Pt-Ti、Co-Ni及Ni-Ta之金屬合金及/或可使用例如WNx、TiNx、MoNx、TaNx及TaSixNy之金屬氮化物。在某些實施例中,TiN作為閘電極層115。閘電極層115在一些實施例中具有在自約0.5奈米至約5.0奈米的範圍中的厚度,在其他實施例中則具有在自約0.8奈米至約1.5奈米的範圍中的厚度。
在一些實施例中,CNT100、閘極介電層110及閘電極層115為具有相同軸心的圓柱體。此外,具有 CNT100、介面層105、閘極介電層110及閘電極層115之閘極部分的整個CNT結構120的直徑在一些實施例中在自約5.0奈米至約15奈米之範圍中。
在溝槽中,鄰近之CNT結構120在閘電極層處彼此接觸。另外,閘極接觸層50形成於溝槽中及溝槽上方並與閘電極層115接觸。閘極接觸層50包括一或多個導電材料,例如W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt及Zr。在某些實施例中,W作為閘極接觸層50
在CNT結構120之S/D部分中,CNT100並未被介面層105、閘極介電層110及閘電極層115覆蓋。在S/D部分處之CNT100與形成於溝槽中之S/D電極層35接觸並被S/D電極層35包覆。S/D電極層35包括一或多個導電材料,例如W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt及Zr。在某些實施例中,W作為S/D電極層35
在一些實施例中,第一間隙壁層30形成於S/D電極層35與閘極堆疊(介面層105、閘極介電層110及閘電極層115)及閘極接觸層50之間,以使S/D電極層35與閘電極層115及閘極接觸層50電性隔離。第一間隙壁層30包括氧化矽(SiO2)、氮化矽(SiN)及氮氧化矽(SiON)或任一其他適宜材料之一或多個,並且在一些實施例中具有在自約1奈米至約10奈米的範圍中的厚度及在其他實施例中具有自約2奈米至約5奈米的範圍中的厚度。
另外,在一些實施例中,第三絕緣層20形成於第二絕緣層16上及在溝槽之部分上方。第三絕緣層20由例如SiO2之氧化矽基材料組成。另外,第一蝕刻停止層(etch stop layer;ESL)40及第二ESL55在一些實施例中設置在第三絕緣層上方。第一ESL及第二ESL由例如SiN之氮化矽基材料組成。
另外,S/D接觸層60被形成以穿過第四絕緣層45,第四絕緣層45形成於溝槽及第一ESL40及第二ESL55中。S/D接觸層60與S/D電極層35接觸。閘極接觸層50之上部穿過錨固層18、第三絕緣層20及第一ESL40及第二ESL55。第四絕緣層45由例如SiO2之氧化矽基材料組成。
第2A圖至第2M圖圖示了根據本揭示案之實施例之CNT結構的依序製造製程的各個階段。應理解,針對方法之額外實施例,可在藉由第2A圖至第2M圖圖示之製程之前、期間及之後設置額外操作及可替換或除去下文所述之一些操作。操作/製程之順序可互換。在以下實施例中可使用與關於第1A圖至第1E圖描述之上述實施例相同或類似的材料、配置、尺寸、製程及/或操作及其詳細說明可略去。
在第2A圖及第2B圖中,一或多個CNT 100被置於基板200上方。在一些實施例中,中間層210形成於基板200上,而CNT 100設置在中間層201上。基板200在一些實施例中為矽基板(或晶圓)。中間層210由SiO2、SiN、SiON或任一其他適宜材料之一或多個組成。在某些實施例中,SiN作為中間層210
奈米碳管可藉由例如電弧放電或雷射燒蝕方法之各種方法而形成。所形成之CNT分散在溶劑中,溶劑例如為十二烷基硫酸鈉(sodium dodecyl sulfate;SDS)。可使用各種方法將CNT轉移至並設置在基板上,例如在「Dose-controlled,floating evaporative self-assembly and alignment of semiconducting carbon nanotubes from organic solvent」(Y.Joo et al.,Langmuir 30,2460-3466(2014))或「Quasi-ballistic c arbon nanotube array transistors with current density exceeding Si and GaAs」(G.J.Brady et al.,Sci.Adv.2106,2-e1601240,September,2016)中描述之浮動蒸發自組裝方法(floating evaporative self-assembly method),各篇的全部內容以引入之方式併入本文。亦可使用藉由「Arrays of single-walled carbon nanotubes with full surface coverage for high-performance electronics」(Q.Cao et al.,Nature Nanotecnology,Vol.7,December 2012)或「High-Performance Carbon Nanotube Field-Effect Transistors」(M.Shulaker et al.,IEDM 2014)描述之其他方法。
在藉由使用光刻操作將CNT 100轉移至中間層210上之後,將作為覆蓋層之光阻圖案220形成於CNT 100之中心部分上方,CNT 100設置在基板200上方。如在第2C圖中圖示,暴露CNT 100之兩端。光阻圖案220之寬度W21在一些實施例中在自約50奈米至約2000奈米之範圍中及在 其他實施例中在自約100奈米至約1000奈米之範圍中。隨後,如在第2D圖中圖示,藉由蝕刻移除CNT 100之暴露端部。另外,如在第2E圖中圖示,隨後藉由乾式蝕刻及/或使用有機溶劑之濕式移除來移除光阻圖案220
隨後,錨固結構(anchor structure)230形成於CNT之兩端上。錨固結構230由SiO2、SiN、SiON或任一其他適宜材料之一或多個組成。在某些實施例中,以SiN作為錨固結構230。在某些實施例中,錨固結構230及中間層210由相同材料組成及在其他實施例中,錨固結構230及中間層210由不同材料組成。作為錨固結構230的毯層材料係藉由例如化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)或原子層沉積(atomic layer deposition;ALD)而形成。藉由在毯層上使用光刻及蝕刻操作,形成錨固結構230。CNT之約50奈米至約200奈米長度的端部藉由錨固結構230覆蓋。在某些實施例中,錨固結構230使用不同於所述之這些方法而在CNT 100之端部上形成。錨固結構230之間之寬度(空隙)W22在一些實施例中在自約50奈米至約2000奈米的範圍中,在其他實施例中則在自約100奈米至約1000奈米的範圍中。
另外,在一些實施例中形成光阻圖案240以暴露CNT 100及覆蓋錨部分230及中間層210之剩餘部分,如在第2G圖中圖示。
隨後,藉由適宜蝕刻操作移除在CNT 100下面之中間層210的部分,如在第2H圖中圖示。當中間層210由SiN組成時,H3PO4可作為蝕刻劑,當中間層210由SiO2組成時,可使用稀釋之HF或經緩衝之HF作為腐蝕劑。在一些實施例中,可使用乾式蝕刻移除中間層210
隨後藉由適宜蝕刻操作來凹槽化基板100,如在第2I圖中圖示。當基板100為矽基板時,KOH及/或氫氧化四甲銨(tetramethylammonium hydroxide;TMAH)可作為蝕刻劑。在一些實施例中,可使用乾式蝕刻凹槽化基板100。凹槽化基板100之前或之後,移除光阻圖案240
在凹槽化基板100之後,形成介面層105以包覆CNT。介面層105可藉由CVD、PVD或ALD或任一其他適宜薄膜形成方法而形成。另外,隨後形成閘極介電層110以包覆由介面層105包裹之CNT 100。閘極介電層110可藉由CVD、PVD或ALD或任一其他適宜薄膜形成方法而形成。隨後,形成閘電極層115以包覆由介面層105及閘極介電層110包裹之CNT 100。閘電極層115可藉由CVD、PVD、ALD、電鍍或任一其他適宜薄膜形成方法而形成。如在第2J圖中圖示,在一些實施例中,介面層105、閘極介電層110及/或閘電極層115亦沉積在錨部分230及/或中間層210上。
隨後,將帶有介面層105、閘極介電層100及閘電極層115之CNT 100與基板100分離,以獲得CNT結構120。在一些實施例中,施加機械力以自基板移除CNT結構120,如在第2K圖至第2M圖中圖示。
如在第2K圖中圖示,基板200以及形成於其上的帶有介面層105、閘極介電層100及閘電極層115的CNT 100被浸入在容器250中之液體255中,例如水或有機溶劑。在一些實施例中,SDS作為液體255。隨後將容器250置於水中並施加超音波,如在第2K圖中圖示。
如在第2L圖中圖示,藉由超音波之力,將CNT結構120與基板200分開。在這之後,如在第2M圖中圖示,將基板200自液體255移除,而將CNT結構120留在液體255中,或是將具有CNT結構120之液體255轉移至另一儲存器260。可以用新的新鮮液體265替換掉液體255,新鮮液體265可為與在容器250或另一儲存器260中之液體255相同或不同之組合物。在上述操作之情況下,獲得具有大致上相同之長度(例如,50奈米至2000奈米或100奈米至1000奈米)之「預製造」CNT閘極結構120是可能的。長度之偏差(3σ)小於CNT結構120之平均長度的約5%。因為每個CNT閘極結構120藉由閘電極及底層覆蓋,所以在CNT 100之間不存在直接交互作用或接觸,其可預防會使在CNT束結構中之CNT效能退化的管間交互作用。
第3A圖至第3K圖圖示了根據本揭示案之實施例之GAA FET的依序製造製程的各個階段。應理解,可在藉由第3A圖至第3K圖圖示之製程之前、期間及之後設置額外操作及對於方法之額外實施例,下文所述之一些操作可替換或去除。操作/製程之順序可互換。在以下實施例中可使 用與關於第1A圖至第2M圖描述之上述實施例相同或類似的材料、配置、尺寸、製程及/或操作及其詳細說明可略去。
如在第3A圖中圖示,第一絕緣層14(例如SiO2層)形成於基板10上方。可藉由熱氧化或CVD形成第一絕緣層14。另外,第二絕緣層16,例如SiN層,形成於第一絕緣層14上方。第二絕緣層16可藉由CVD、PVD或ALD而形成。第一絕緣層14之厚度在一些實施例中在自約15奈米至約150奈米之範圍中及在其他實施例中在自約30奈米至約70奈米之範圍中。第二絕緣層16之厚度在一些實施例中在自約5奈米至約50奈米之範圍中,在其他實施例中則在自約10奈米至約30奈米之範圍中。
隨後,如在第3B圖中圖示,第一遮罩圖案300形成於第二絕緣層16上方。在一些實施例中,第一遮罩圖案300由藉由光刻操作形成之光阻劑而組成,在其他實施例中第一遮罩圖案300為硬遮罩圖案。
藉由使用遮罩圖案300作為蝕刻遮罩,第一絕緣層及第二絕緣層經溝槽蝕刻以形成溝槽305,如在第3C圖中圖示。溝槽305之寬度W31在一些實施例中在自約10奈米至約100奈米之範圍中,在其他實施例中則在約20奈米至50奈米之範圍中。在蝕刻溝槽之後,移除第一遮罩圖案300。在一些實施例中,多個溝槽可形成於基板10上方。在本實施例中,僅圖示一個溝槽用於說明目的。
在一些實施例中,由例如SiO2組成之隔離襯墊層12選擇性地形成在基板10上之溝槽的底部。在一些實施 例中,襯墊層12可藉由調整在第一絕緣層之溝槽蝕刻中之蝕刻時間而形成,以便將第一絕緣層14之薄層留下作為隔離襯墊層12。在其他實施例中,襯墊層12可藉由基板10之熱氧化或其他適宜薄膜形成方法而形成。為簡明起見,在一些附圖中可略去襯墊層12
在一些實施例中,基板10具有表面絕緣層,且第一絕緣層14形成於表面絕緣層上。在這種情況下,表面絕緣層暴露在溝槽底部並作為隔離襯墊層12。表面絕緣層可為SiO2、SiN、SiON或任一其他適宜絕緣材料。
在形成溝槽305之後,如在第3D圖中圖示,CNT結構120被設置在溝槽中及在第二絕緣層16上方。如上文中所闡明,CNT結構120經製備並分散在液體255或液體265中。將具有CNT結構120之液體分配並旋塗在具有溝槽305之基板10上。在若干小時之後,CNT結構120藉由重力沉積在溝槽305中。隨後,藉由蒸發或吹入空氣來移除液體(溶劑),進而留下CNT結構120,如在第3D圖中圖示。
在一些實施例中,溝槽305之內壁(側壁及底壁)使用適當材料進行表面處理以增強CNT結構120在溝槽中之選擇性沉積。在一些實施例中,表面處理可使內壁疏水。
在一些實施例中,執行選擇性放置方法。選擇性放置方法係立基於在功能表面單層與包裹水溶液中之奈米碳管的介面活性劑之間的離子交換。在表面單層與奈米管介面活性劑之間之靜電相互作用導致具有最佳選擇性之單獨奈米管的放置。表面單層由例如由市售異煙酸甲酯合成之 4-(N-羥基甲醯胺基-1-甲基碘化吡啶(4-(N-hydroxycarboxamido)-1-methylpyridinium iodide,NMPI)分子形成。單層包含已知可以在可作為襯層12之金屬氧化物層上自組裝的氧肟酸端基。將NMPI(即碘化物)之陰離子與包裹CNT結構(陰離子表面活性劑十二烷基硫酸鈉,SDS)上之陰離子表面活性劑交換,從而導致帶負電荷之表面活性劑與帶正電荷的單層之間強烈的庫侖吸引力。藉由這種方法,CNT結構120可被設置在溝槽中。
其次,如在第3E圖中圖示,執行例如回蝕操作或化學機械研磨(chemical mechanical polishing;CMP)操作之平坦化操作,進而移除設置在第二絕緣層16之上表面上的CNT結構120,如在第3E圖及第3F圖中圖示。第3F圖為第3E圖之平視(俯視)圖。因為在溝槽之X方向中之長度L31經調整至略微大於CNT結構120之長度,例如約CNT結構120之長度的1.05-1.2倍,可如在第3E圖及第3F圖中圖示佈置CNT結構120
隨後,錨固層18沉積在溝槽305內部之CNT結構120周圍及第二絕緣層16上方,如在第3G圖中圖示及執行例如回蝕操作或CMP操作之平坦化操作以移除錨固層18之過剩材料,如在第3H圖中圖示。錨固層18可藉由CVD、PVD或ALD或任一其他適宜薄膜形成方法而形成。在一些實施例中,第二絕緣層16可在CMP操作中充當蝕刻停止層,在其他實施例中,第二絕緣層16藉由CMP而移除。
第3I圖、第3J圖及第3K圖圖示了根據本揭示案之各實施例之CNT結構120在溝槽中的各種佈置。
在第3I圖中,CNT結構120被佈置在溝槽中以在X-Y橫截面中形成矩陣。位於在X-Y橫截面中之中心部分中之這些CNT結構120的其中一者與四個鄰近之CNT結構120接觸。
在第3K圖中,CNT結構120被佈置在溝槽中以在X-Y橫截面中形成緊密堆疊狀態(六方緊密堆疊結構)。位於在X-Y橫截面中之中心部分之這些CNT結構120的其中一者與六個鄰近之CNT結構120接觸。
在第3J圖中,CNT結構120隨機地佈置在溝槽中。這些CNT結構120的其中一者可不與鄰近之CNT結構120接觸,這些CNT結構120的其中另一者可與1個、2個、3個、4個、5個及/或6個鄰近之CNT結構120接觸。
在第3I圖至第3J圖之任一圖中,每個溝槽中CNT結構120之總數在一些實施例中在自約20至約200之範圍中。
第4A圖至第4S圖圖示了根據本揭示案之實施例之GAA FET的依序製造製程的各個階段。應理解,針對方法之額外實施例,可在藉由第4A圖至第4S圖圖示之製程之前、期間及之後設置額外操作及下文所述之一些操作可被替換或去除。操作/製程之順序可互換。在以下實施例中可使用與關於第1A圖至第3K圖描述之上述實施例相同或類 似的材料、配置、尺寸、製程及/或操作及其詳細說明可略去。
在形成第3H圖之結構之後,第三絕緣層20形成於第3H圖之結構上方。第三絕緣層20可藉由CVD、PVD或ALD或任一其他適宜形成薄膜的方法而形成。另外,硬遮罩層400形成於第三絕緣層20上方。在一些實施例中,硬遮罩層400由例如SiN之氮化矽基材料組成。在一些實施例中,第三絕緣層20之厚度在在自約10奈米至約100奈米之範圍中。硬遮罩層400之厚度在一些實施例中在自約5奈米至約50奈米之範圍內。隨後,遮罩圖案405形成於硬遮罩層400上方,如在第4A圖中圖示。遮罩圖案405為藉由光刻操作而形成之光阻圖案及具有對應於源極/汲極(S/D)區域之一或多個開口。
隨後,如在第4B圖中圖示,硬遮罩層400及第三絕緣層20藉由使用遮罩圖案405作為蝕刻遮罩之乾式蝕刻而圖案化。隨後,移除遮罩圖案405。藉由此蝕刻,部分地暴露錨固層18
隨後,錨固層18藉由使用圖案化硬遮罩層400(及圖案化之第三絕緣層20)作為蝕刻遮罩之乾式蝕刻而蝕刻以形成S/D空隙415,如在第4C圖中圖示。另外,在S/D區域中之CNT結構的閘電極層115、閘極介電層110及介面層105藉由乾式及/或濕式蝕刻而移除,進而將CNT 100暴露於S/D空隙415中。在一些實施例中,介面層105藉由氣相蝕刻而移除。
在CNT 100暴露於S/D空隙415中之後,第一間隙壁層30選擇性地形成於閘極堆疊(介面層105、閘極介電層110及閘電極層115)之側端上,如在第4D圖中圖示。第一間隙壁層30形成於第三絕緣層20及硬遮罩層400之側壁上,但大致上不沉積在暴露之CNT 100上。第一間隙壁層30可藉由ALD而形成。在一些實施例中,因為CNT 100之表面疏水,詳言之,具有無懸空鍵(dangling bond)之理想表面,CNT 100之表面在ALD製程中不吸收氧化前驅物,例如H2O。因而,如在第4D圖中圖示,CNT 100之大部分保持暴露。
此後,S/D電極層35沉積在S/D空隙中及在第一間隙壁層30上表面上方,如在第4E圖中圖示及執行例如CMP之平坦化操作以移除過量材料,如在第4F圖中圖示。S/D電極層35可藉由CVD、PVD、ALD、電鍍或任一其他適宜導電薄膜形成方法而形成。另外,在一些實施例中,執行例如CMP之一或多個額外平坦化操作以與S/D電極層35之上部一起移除第一間隙壁層30之上部、硬遮罩層400及第三絕緣層20之部分,如在第4G圖中圖示。在平坦化操作之後,在第二絕緣層20上方之第三絕緣層20的厚度在一些實施例中在在自約10奈米至約40奈米之範圍中。
如在第4H圖中圖示,S/D電極層35之上部另外藉由適宜蝕刻而凹槽化以形成凹口420。在一些實施例中,佈置在最高部分處之CNT結構120之至少一個的CNT 100亦被蝕刻掉及因而形成一個不充當GAA FET之CNT。
隨後,如在第4I圖中圖示,第一蝕刻停止層(ESL)40形成於凹槽化之S/D電極層35上及在第一間隙壁層30上。另外,第四絕緣層45形成於第一ESL40上,第一ESL40在凹處420中及上方,如在第4J圖中圖示及執行例如CMP之平坦化操作以移除第四絕緣層45之過量材料,進而獲得第4K圖之結構。
在使用第四絕緣層45填充凹口420之後,遮罩圖案425形成於第4K圖之結構上方。遮罩圖案425為具有對應於一或多個閘極接觸部分之一或多個開口的光阻圖案。藉由使用遮罩圖案425作為蝕刻遮罩,蝕刻第一ESL40及第三絕緣層20以形成一或多個開口430,如在第4L圖中圖示。隨後,移除遮罩層425
另外,錨固層18經蝕刻穿過開口430至溝槽底部,進而形成一或多個閘極開口435,如在第4M圖中圖示。
如在第4N圖中圖示,在形成閘極開口435之後,閘極接觸層50形成於閘極開口435中及閘極開口435上方,並且,執行例如CMP之平坦化操作以移除閘極接觸層50之過量材料,進而獲得第4O圖之結構。
隨後,如在第4P圖中圖示,第二ESL55藉由例如CVD形成於第4O圖之結構上,另外第五絕緣層435藉由例如CVD而形成。第五絕緣層435在一些實施例中由例如SiO2組成並具有在自約30奈米至約100奈米之範圍中的厚度。
在形成第五絕緣層435之後,遮罩圖案440形成於第4P圖之結構上方。遮罩圖案440為具有對應於S/D電極35之一或多個開口的光阻圖案。藉由使用遮罩圖案440作為蝕刻遮罩,蝕刻第五絕緣層435、第二ESL55、第四絕緣層45及第一ESL30以形成一或多個開口445,如在第4Q圖中圖示。隨後,移除遮罩層440
如在第4R圖中圖示,在形成開口445之後,S/D接觸層60形成於開口445中及上方,並且,執行例如CMP之平坦化操作以移除S/D接觸層60之過量材料,進而獲得第4S圖之結構。
隨後,執行另外CMOS製程以形成各特徵,例如額外層間介電層、接觸/通孔、互連金屬層及鈍化層等等。
應理解,本文無必要論述所有優勢,且沒有特定優勢對於所有實施例或實例為必需,以及其他實施例或實例可提供不同優勢。
舉例而言,在本揭示案中,製備「預製造」CNT環繞式閘極結構以提供功函數控制及在CNT上方之鈍化結構,以阻止在後續FET製造製程期間對CNT之損害。因為,將「預製造」CNT結構轉移至基板上之預界定溝槽,所以對齊具有高密度之CNT是可能的,產生具有高電流特性之高密度結構。另外,「預製造」CNT環繞式閘極結構可避免與由CNT之間的交互作用所引起的CNT成束問題相關的效能降低。
根據本揭示案之態樣,在形成用於環繞式閘極場效電晶體之閘極結構的方法中,奈米碳管(CNT)設置在基板上方。另一結構形成於設置在基板上方之CNT的兩端。在形成錨固結構之後,凹槽化在CNT下面之基板的部分。在凹槽化基板之後,形成閘極介電層包覆CNT及形成閘電極層於閘極介電層上方。自基板移除帶有閘極介電層及閘電極層之CNT,進而形成閘極結構。在一或多個以前或以下實施例中,基板包括形成於基板上之中間層,CNT係設置於中間層上。凹槽化包括移除中間層及蝕刻基板。在一或多個以前或以下實施例中,方法進一步包括,在移除中間層之前,使用覆蓋材料覆蓋錨固結構,在移除中間層之後,移除覆蓋材料。在一或多個以前或以下實施例中,方法進一步包括,在形成錨固結構之前,在設置在基板上之CNT的部分上方形成覆蓋層,同時暴露CNT之端部,移除CNT之暴露端部及移除覆蓋層。在一或多個以前或以下實施例中,移除CNT係藉由將具有閘極介電層及閘電極層之CNT之基板引入溶液中及對溶液施加超聲波來執行,進而自基板移除帶有閘極介電層及閘電極層之CNT。在一或多個以前或以下實施例中,兩個或兩個以上CNT單獨地設置在基板上。在一或多個以前或以下實施例中,閘極介電層包括選自由HfO2及Al2O3組成之組群中的一者。在一或多個以前或以下實施例中,閘電極層包括TiN。
根據本揭示案之另一態樣,在製造環繞式閘極場效電晶體之方法中,溝槽形成於基板上方。將複數個奈米 管結構引入溝槽中,各奈米管結構包括奈米碳管(CNT),其具有包覆在CNT周圍的閘極介電層及在閘極介電層上方的閘電極層。錨固層形成於溝槽中。在源極/汲極(source/drain;S/D)區域處移除錨固層的部分。移除在S/D區域處之閘電極層及閘極介電層,進而將CNT的部分暴露在S/D區域。S/D電極層形成於CNT的暴露部分上。移除在閘極區域之錨固層的部分,進而暴露閘極結構的閘電極層的部分。閘極接觸層形成於閘電極層的暴露部分上。在一或多個以前或以下實施例中,方法進一步包括,在暴露在S/D區域處之CNT之部分之後及在形成S/D電極層之前,在閘電極層及閘極介電層之端部上形成第一間隙壁層。在一或多個以前或以下實施例中,方法進一步包括,在形成錨固層之後,在錨固層上方形成覆蓋介電層。在一或多個以前或以下實施例中,方法進一步包括,在形成S/D電極層之後,凹槽化S/D電極層及形成第二間隙壁層。在一或多個以前或以下實施例中,形成溝槽包括,在基板上形成絕緣層及圖案化絕緣層,進而形成溝槽。在一或多個以前或以下實施例中,方法進一步包括在S/D電極層上形成S/D接觸層。在一或多個以前或以下實施例中,將奈米管佈置進溝槽中包括,製備內含分散的奈米管結構之溶劑,在具有溝槽之基板上方塗覆溶劑及移除溶劑,進而將奈米管結構留在溝槽中。在一或多個以前或以下實施例中,在溝槽中,奈米管結構中的一者與至少四個鄰近之奈米管結構直接接觸。在一或多個以前或以下實施例中,錨固層由多晶矽及非晶矽中的一者組成。在一或多個以 前或以下實施例中,錨固層由介電質材料組成。在一或多個以前或以下實施例中,在形成S/D電極層之後,CNT與S/D電極層直接接觸並被S/D電極層包覆。
根據本揭示案之另一態樣,具有環繞式閘極場效電晶體之半導體裝置包括複數個奈米管結構,這些奈米管結構設置在形成於基板上方之溝槽中。各奈米管結構包括奈米碳管(CNT),奈米管結構之兩端嵌入於錨固層中。閘極結構由奈米管結構之部分組成,其包括帶有包覆CNT之閘極介電層及在閘極介電層上方之閘電極層的CNT。源極/汲極(S/D)由奈米管結構之部分組成,其中CNT係暴露的,直接接觸S/D電極層,並且被S/D電極層包覆。
上文概述若干實施例或實例之特徵,使得熟習此項技術者可更好地理解本揭示案之態樣。熟習此項技術者應瞭解,可輕易使用本揭示案作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭示案之精神及範疇,且可在不脫離本揭示案之精神及範疇的情況下產生本文的各種變化、替代及更改。

Claims (10)

  1. 一種形成一環繞式閘極場效電晶體之一閘極結構的方法,該方法包含:設置一奈米碳管於一基板上方;形成錨固結構於設置在該基板上方的該奈米碳管的兩端上;在形成該錨固結構之後,凹槽化在該奈米碳管下之該基板的一部分;在凹槽化之後,形成一閘極介電層包覆該奈米碳管及形成一閘電極層於該閘極介電層上方;以及自該基板移除帶有該閘極介電層及該閘電極層之該奈米碳管,進而形成該閘極結構。
  2. 如請求項1所述之方法,其中:該基板包括形成於該基板上之一中間層,該奈米碳管設置在該中間層上,以及該凹槽化包括:移除該中間層,以及蝕刻該基板。
  3. 如請求項2所述之方法,進一步包含:在移除該中間層之前,使用一覆蓋材料覆蓋該錨固結構;以及在移除該中間層之後,移除該覆蓋材料。
  4. 如請求項1所述之方法,進一步包含,在形成該錨固結構之前:形成一覆蓋層於設置在該基板上之該奈米碳管的一部分上方,同時暴露該奈米碳管的兩末端部分;移除該奈米碳管的暴露的該些兩末端部分;以及移除該覆蓋層。
  5. 如請求項1所述之方法,其中藉由以下步驟執行移除該奈米碳管:將帶有該奈米碳管之該基板引入一溶液中,該奈米碳管帶有該閘極介電層及該閘電極層;以及對該溶液施加超聲波,進而自該基板移除帶有該閘極介電層及該閘電極層之該奈米碳管。
  6. 一種製造一環繞式閘極場效電晶體之方法,該方法包含:形成一溝槽於一基板上方;引入複數個奈米管結構於該溝槽中,各該奈米管結構包括一奈米碳管,該奈米碳管帶有包覆在該奈米碳管周圍之一閘極介電層,以及在該閘極介電層上方之一閘電極層;在該溝槽中形成一錨固層;在一源極/汲極區域移除該錨固層之一部分;移除在該源極/汲極區域的該閘電極層及該閘極介電層,進而將該奈米碳管之一部分暴露於該源極/汲極區域;在該奈米碳管之該暴露部分上形成一源極/汲極電極層;在一閘極區域移除該錨固層之一部分,進而暴露該閘極結構之該閘電極層的一部分;以及在該閘電極層暴露的該部分上形成一閘極接觸層。
  7. 如請求項6所述之方法,進一步包括,在將該奈米碳管之該部分暴露在該源極/汲極區域之後及在形成該源極/汲極電極層之前,在該閘電極層及該閘極介電層之端部上形成一第一間隙壁層。
  8. 如請求項6所述之方法,其中引入該些奈米管結構於該溝槽中包括:製備一溶劑,其中奈米管結構係分散的;該溶劑於具有該溝槽之該基板上方;以及移除該溶劑,進而將該奈米管結構留在該溝槽中。
  9. 如請求項6所述之方法,其中在形成該源極/汲極電極層之後,該奈米碳管與該源極/汲極電極層直接接觸並且被該源極/汲極電極層包覆。
  10. 一種具有環繞式閘極場效電晶體之半導體裝置,其包含:複數個奈米管結構設置於形成於一基板上方之一溝槽中,其中:各該奈米管結構包括一奈米碳管,各該奈米管結構之兩端嵌入於一錨固層中,一閘極結構由該奈米管結構之一部分組成,其包括帶有包覆該奈米碳管之一閘極介電層及包覆該閘極介電層的一閘電極層的該奈米碳管,其中該閘電極層的一部分位於該奈米碳管與該基板之間,且該奈米碳管、該閘極介電層及該閘電極層為具有相同軸心的圓柱體,以及一源極/汲極由該奈米管結構之一部分組成,其中該奈米碳管係暴露的,直接接觸一源極/汲極電極層,並且被該源極/汲極電極層包覆。
TW106131058A 2017-06-20 2017-09-11 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法 TWI680505B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/627,722 US10193090B2 (en) 2017-06-20 2017-06-20 Method of manufacturing a semiconductor device and a semiconductor device
US15/627,722 2017-06-20

Publications (2)

Publication Number Publication Date
TW201905989A TW201905989A (zh) 2019-02-01
TWI680505B true TWI680505B (zh) 2019-12-21

Family

ID=64457512

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106131058A TWI680505B (zh) 2017-06-20 2017-09-11 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法

Country Status (5)

Country Link
US (2) US10193090B2 (zh)
KR (1) KR102002687B1 (zh)
CN (1) CN109103084B (zh)
DE (1) DE102017114953B4 (zh)
TW (1) TWI680505B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11088337B2 (en) * 2018-11-20 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
US10879469B1 (en) 2019-06-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11417729B2 (en) 2019-08-29 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with channels formed of low-dimensional materials and method forming same
DE102020109756A1 (de) 2019-08-29 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistoren mit kanälen gebildet aus niedrigdimensionalenmaterialien und verfahren zum bilden derselben
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
KR20210080662A (ko) 2019-12-20 2021-07-01 삼성전자주식회사 반도체 장치
US11342181B2 (en) * 2020-05-15 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11955527B2 (en) * 2020-10-29 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nano transistors with source/drain having side contacts to 2-D material
US11424367B2 (en) 2020-12-16 2022-08-23 International Business Machines Corporation Wrap-around contacts including localized metal silicide

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100097058A1 (en) * 2006-06-15 2010-04-22 Nasa Headquarters Strain-based carbon nanotube magnetometer
CN101873992A (zh) * 2006-02-07 2010-10-27 哈佛大学校长及研究员协会 碳纳米管的气相官能化
CN103107199A (zh) * 2011-10-11 2013-05-15 国际商业机器公司 图案化碳纳米管器件中的接触
CN104969335A (zh) * 2012-12-05 2015-10-07 国际商业机器公司 具有选择性掺杂间隔的全包围栅极碳纳米管晶体管

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
KR101172561B1 (ko) 2004-11-24 2012-08-08 나노시스, 인크. 나노와이어 박막을 위한 콘택 도핑 및 어닐링 시스템 및공정
US7714386B2 (en) * 2006-06-09 2010-05-11 Northrop Grumman Systems Corporation Carbon nanotube field effect transistor
KR100819004B1 (ko) 2006-11-15 2008-04-02 삼성전자주식회사 미세 전자 소자 및 그 제조 방법
KR101377597B1 (ko) 2007-03-21 2014-03-27 삼성디스플레이 주식회사 트랜지스터 및 그 제조방법
US8222127B2 (en) * 2008-07-18 2012-07-17 Micron Technology, Inc. Methods of forming structures having nanotubes extending between opposing electrodes and structures including same
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
CN105810750B (zh) * 2014-12-29 2019-02-01 中芯国际集成电路制造(上海)有限公司 一种碳纳米管神经元器件及其制作方法
US9653457B2 (en) 2015-01-16 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked device and associated layout structure
US9502673B2 (en) * 2015-03-31 2016-11-22 International Business Machines Corporation Transistor devices with tapered suspended vertical arrays of carbon nanotubes
FR3043837B1 (fr) * 2015-11-17 2017-12-15 Commissariat Energie Atomique Procede de realisation de transistor a nanofil semi-conducteur et comprenant une grille et des espaceurs auto-alignes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101873992A (zh) * 2006-02-07 2010-10-27 哈佛大学校长及研究员协会 碳纳米管的气相官能化
US20100097058A1 (en) * 2006-06-15 2010-04-22 Nasa Headquarters Strain-based carbon nanotube magnetometer
CN103107199A (zh) * 2011-10-11 2013-05-15 国际商业机器公司 图案化碳纳米管器件中的接触
CN104969335A (zh) * 2012-12-05 2015-10-07 国际商业机器公司 具有选择性掺杂间隔的全包围栅极碳纳米管晶体管

Also Published As

Publication number Publication date
KR20180138099A (ko) 2018-12-28
CN109103084A (zh) 2018-12-28
KR102002687B1 (ko) 2019-10-01
US20190097147A1 (en) 2019-03-28
CN109103084B (zh) 2021-03-23
DE102017114953B4 (de) 2022-04-28
DE102017114953A1 (de) 2018-12-20
US10847736B2 (en) 2020-11-24
US10193090B2 (en) 2019-01-29
US20180366666A1 (en) 2018-12-20
TW201905989A (zh) 2019-02-01

Similar Documents

Publication Publication Date Title
TWI680505B (zh) 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法
TWI669818B (zh) 半導體元件及其製造方法
US12010856B2 (en) Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11659721B2 (en) Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
CN109216371A (zh) 制造半导体器件的方法以及半导体器件
US11769798B2 (en) Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
CN110571333B (zh) 一种无掺杂晶体管器件制作方法
TWI745066B (zh) 閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法
US20230268391A1 (en) Semiconductor device structure and methods of forming the same
US20240128265A1 (en) Semiconductor structure and method of forming semiconductor structure
US11239074B2 (en) Germanium nanosheets and methods of forming the same
TWI778681B (zh) 半導體裝置和其形成方法
TWI726338B (zh) 半導體元件的製造方法
CN110660841B (zh) 半导体元件的制造方法