TWI745066B - 閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法 - Google Patents

閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法 Download PDF

Info

Publication number
TWI745066B
TWI745066B TW109129954A TW109129954A TWI745066B TW I745066 B TWI745066 B TW I745066B TW 109129954 A TW109129954 A TW 109129954A TW 109129954 A TW109129954 A TW 109129954A TW I745066 B TWI745066 B TW I745066B
Authority
TW
Taiwan
Prior art keywords
layer
source
carbon nanotubes
semiconductor layer
drain
Prior art date
Application number
TW109129954A
Other languages
English (en)
Other versions
TW202111818A (zh
Inventor
荷爾本 朵爾伯斯
馬庫斯瓊斯亨利庫斯 范達爾
堤姆斯 文森
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202111818A publication Critical patent/TW202111818A/zh
Application granted granted Critical
Publication of TWI745066B publication Critical patent/TWI745066B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/481Insulated gate field-effect transistors [IGFETs] characterised by the gate conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/80Constructional details
    • H10K10/82Electrodes
    • H10K10/84Ohmic electrodes, e.g. source or drain electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/10Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00 comprising field-effect transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/18Deposition of organic active material using non-liquid printing techniques, e.g. thermal transfer printing from a donor sheet
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/191Deposition of organic active material characterised by provisions for the orientation or alignment of the layer to be deposited
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • H10K71/231Changing the shape of the active layer in the devices, e.g. patterning by etching of existing layers
    • H10K71/233Changing the shape of the active layer in the devices, e.g. patterning by etching of existing layers by photolithographic etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/30Doping active layers, e.g. electron transporting layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/80Manufacture or treatment specially adapted for the organic devices covered by this subclass using temporary substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/468Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics
    • H10K10/472Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics the gate dielectric comprising only inorganic materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/468Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics
    • H10K10/474Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics the gate dielectric comprising a multilayered structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/484Insulated gate field-effect transistors [IGFETs] characterised by the channel regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在形成閘極全環場效應電晶體(gate-all-around field effect transistor,GAA FET)的方法中,形成包括嵌入在半導體層中的奈米碳管的鰭式結構,形成犧牲閘極結構於鰭式結構上,摻雜在鰭式結構的源極/汲極區域的半導體層,形成隔離絕緣層,透過圖案化隔離絕緣層以形成源極/汲極開口,並且形成源極/汲極接觸層於鰭式結構之摻雜的源極/汲極區域上方。

Description

閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法
本揭示案是關於一種閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法。
隨著半導體工業進入奈米技術製程節點以追求更高的裝置密度、更高的性能和更低的成本,來自製造和設計問題的挑戰導致了三維設計的發展(例如,閘極全環(gate all around,GAA)結構)。基於非矽的低維度材料(non-Si based low-dimensional materials)有望提供優異的靜電(例如,用於短通道效應)和更高的性能(例如,更少的表面散射)。奈米碳管(carbon nanotubes,CNTs)由於其高載子遷移率和實質上一維的結構而被認為是一種非常有潛力的候選者。
本公開的一個方面,在形成閘極全環場效應電晶體的方法中,形成包括嵌入在半導體層中的奈米碳管的鰭式結構,在鰭式結構上形成犧牲閘極結構,在鰭式結構的源極/汲極區域摻雜半導體層,形成層間介電層,透過圖案化 層間介電層形成源極/汲極開口,以及在鰭式結構之摻雜的源極/汲極區域上形成源極/汲極接觸層。
根據本公開的另一方面,在形成閘極全環場效應電晶體的方法中,在基板上形成其中奈米碳管嵌入在支撐材料中的鰭式結構,在鰭式結構上形成犧牲閘極結構,在犧牲閘極結構和鰭式結構上形成絕緣層,去除犧牲閘極結構,使得鰭式結構的一部分暴露,從鰭式結構的暴露部分移除支撐材料使得奈米碳管的通道區域暴露,在奈米碳管之暴露的通道區域周圍形成閘極結構,在絕緣層中形成源極/汲極開口,去除源極/汲極開口中的支撐材料使得奈米碳管的源極/源極區域暴露,在源極/汲極開口中之暴露的奈米碳管周圍形成半導體層,並且在半導體層上形成源極/汲極接觸層。
根據本公開的一個方面,具有閘極全環場效應電晶體的半導體裝置包括設置在基板上的奈米碳管,在通道區域中圍繞每個奈米碳管形成的閘極結構,摻雜的半導體層包裹在源極/汲極區域中的每個奈米碳管周圍,以及在半導體層上形成的源極/汲極接觸。
10:基板
12:光阻圖案
13:底部支撐層
14:虛設基板
15:隔離絕緣層
17:轉移膜
18:遮罩圖案
20:支撐層
21:第一支撐層
22:第二支撐層
23:第三支撐層
24:第四支撐層
25:第五支撐層
26:第六支撐層
27:摻雜區域
28:半導體層
30:鰭式結構
38:摻雜層
40:犧牲閘極結構
42:遮罩層
44:側壁間隔物
46:襯墊層
50:第一層間介電層
55:閘極空間
60:第二層間介電層
65:源極/汲極接觸開口
70:源極/汲極接觸層
100:奈米碳管
102:閘極介電層
104:功函數調整層
106:閘極電極層
115:底部支撐層
120:支撐層
128:半導體層
CNT:奈米碳管
H1:高度
H2:高度
W21:寬度
PH:水平間距
r:距離
X:方向
Y:方向
Z:方向
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準實踐,各種特徵未按比例繪製。實際上,為了清楚地討論,可以任意增加或減少各種特徵的尺寸。
第1A圖、第1B圖和第1C圖繪示根據本公開實施例之使 用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段。
第2A圖、第2B圖、第2C圖、第2D圖和第2E圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段。
第2F圖、第2G圖、第2H圖、第2I圖和第2J圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段。
第3圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第4圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第5圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第6A圖和第6B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第7A圖和第7B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第8A圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。第8B圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第9A圖和第9B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第10A圖和第10B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第11A圖和第11B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第12A圖、第12B圖、第12C圖、第12D圖和第12E圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第13A圖和第13B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第14A圖和第14B圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第15圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第16圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第17圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第18圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第19圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第20圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第21圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段之一。
第22A圖和第22B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第23A圖和第23B圖繪示根據本公開實施例之使用奈米碳管的FET的順序製造製程的各個階段之一。
第24A圖和第24B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第25A圖和第25B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第26A圖和第26B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第27A圖和第27B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第28A圖和第28B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第29A圖和第29B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第30A圖和第30B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第31A圖和第31B圖繪示根據本公開實施例之使用奈米碳管的場效應電晶體的順序製造製程的各個階段之一。
第32A圖和第32B圖繪示根據本公開另一實施例之使用奈米碳管的場效應電晶體。
第33A圖、第33B圖、第33C圖和第33D圖繪示模擬結果,其繪示從摻雜的塊狀半導體材料中摻雜奈米碳管。
應理解,以下公開內容提供了用於實現本公開之不同特徵的許多不同實施例或示例。以下描述元件和配置的特定實施例或示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,元件的尺寸不限於所公開的範圍或值,而是可取決於裝置的製程條件和/或所需特性。此外,在以下描述中,在第二特徵之上或上方形成第一特徵可以包括其中第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括其中可以形成介於第一特徵和第二特徵之間的附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。為了簡單和清楚起見,可以以不同的比例任意繪製各種特徵。在附圖中,為了簡化,可以省略一些層/特徵。
此外,本文可以使用空間相對術語,諸如「在...下面」、「在...下方」、「低於」、「在...上面」、「在... 上方」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。另外,術語「由...構成」可以表示「包含」或「由...組成」。此外,在以下製造過程中,在所描述的操作中/之間可以存在一個或多個附加操作,並且可以改變操作的順序。在本公開中,詞語「A、B和C之一」表示「A、B和/或C」(A、B、C、A和B、A和C、B和C、或A、B和C),除非另有說明,否則並不意味著來自A的一個元素,來自B的一個元素和來自C的一個元素。在其他實施例中可採用與其中一個實施例中描述之相同或類似的材料、配置、尺寸、製程和/或操作,並且可以省略其詳細說明。
奈米碳管(carbon nanotubes,CNTs)具有直徑為奈米(nm)的等級(例如,約1nm),其由於圓柱形幾何形狀、優異的電特性和機械性能而被認為是製造等比例縮放場效應電晶體裝置的首選材料。使用閘極長度約為10nm或更小的奈米碳管的場效應電晶體(field effect transistor,FET)顯示出優異的電特性。然而,尚未建立與互補式金屬氧化物半導體製造技術兼容的製造技術。在本公開中,透過在基板上堆疊對齊的奈米碳管層並且從堆疊的奈米碳管形成鰭式結構,提供了與互補式金屬氧化物半導體技術兼容之水平閘極全環的製程。
在一些實施例中,半導體裝置包括場效應電晶體之新穎的結構,其包括堆疊的閘極全環奈米碳管。半導體裝置包括對齊的奈米碳管陣列和閘極電極層,其中閘極介電層圍繞對齊的奈米碳管陣列。具有奈米碳管的閘極全環場效應電晶體可以應用於先進技術節點中的邏輯電路。然而,控制奈米碳管中的摻雜輪廓(doping profile)通常具有挑戰性。一般來說,會期望閘極全環場效應電晶體具有未摻雜的通道區域,而具有摻雜的源極/汲極延伸和源極/汲極接觸區域。
在本公開中,奈米碳管的源極/汲極區域由摻雜的塊狀半導體材料摻雜,以在奈米碳管中提供更多的載子並降低接觸電阻。
第1A圖至第21圖繪示根據本公開實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段。應當理解,可以在第1A圖至第21圖所示的過程之前、期間和之後提供附加操作,並且可以替換或消除下面描述的一些操作以作為此方法的其他實施例。操作/製程的順序是可互換的。
如第1A圖所示,一個或多個奈米碳管100配置在基板10上。奈米碳管實質上以相同的方向(例如,Y方向)對齊的配置在基板上。在一些實施例中,奈米碳管100對齊Y方向的偏差約為±10度,並且在其他實施例中約為±5度。在部分實施例中,偏差約為±2度。在一些實施例中,奈米碳管100沿X方向的密度以約50管/微米(μm) 至約300管/μm的範圍配置,並且在其他實施例中,沿X方向的密度在約100管/μm至約200管/μm的範圍內。在一些實施例中,奈米碳管100的長度(在Y方向上)在約0.5μm至約5μm的範圍內,並且在其他實施例中,在約1μm至約2μm的範圍內。在一些實施例中,奈米碳管100的平均直徑在約1.0奈米(nm)至約2.0nm的範圍內。
在一些實施例中,基板10的製成係由合適的元素晶體半導體(例如,矽、金剛石或鍺);合適的合金或化合物晶體半導體(例如,IV族化合物半導體(例如,矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、鍺錫(GeSn)、矽錫(SiSn)、矽鍺錫(SiGeSn))、III-V族化合物半導體(例如,砷化鎵、砷化銦鎵(InGaAs)、砷化銦、磷化銦、銻化銦、磷化鎵砷或磷化鎵銦)等)。在一些實施例中,使用晶體矽作為基板10。
在一些實施例中,底部支撐層形成在基板10上,並且奈米碳管100設置在底部支撐層上。在一些實施例中,底部支撐層包括一層或多層藍寶石、氧化矽、氮化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)和氮碳化矽(SiCN)或其他合適的絕緣材料。在其他實施例中,底部支撐層包括矽(Si)、鍺(Ge)和矽鍺(SiGe)之一的多晶或非晶材料。可以透過合適的成膜方法(例如,熱氧化、化學氣相沉積(chemical vapor deposition CVD)、物理氣相沉積(physical vapor deposition, PVD)或原子層沉積(atomic layer deposition,ALD))形成底部支撐層。
可以透過各種方法(例如,電弧放電(arc-discharge)或雷射剝蝕(laser ablation)方法,或模板化化學氣相沉積方法)在藍寶石基板上形成奈米碳管。所形成的奈米碳管可以分散在溶劑(例如,十二烷基硫酸鈉(sodium dodecyl sulfate,SDS))中。可以使用各種方法將奈米碳管轉移到並設置在基板上,例如,在一些實施例中的浮動蒸發自組裝方法(floating evaporative self-assembly method)。
第2A圖至第2E圖繪示將奈米碳管轉移到基板10的操作。在一些實施例中,奈米碳管100設置在虛設基板14上,如上所述並且如第2A圖所示。在一些實施例中,虛設基板14是藍寶石基板。在一些實施例中,奈米碳管100以約5nm至約15nm的間距排列。然後,如第2B圖所示,在奈米碳管100和虛設基板14上形成轉移膜17。在一些實施例中,轉移膜是金屬膜(例如,金膜)。如第2C圖所示,轉移膜17與奈米碳管100一起從虛設基板14上拆下。然後,如第2D圖所示,將具有奈米碳管100的轉移膜17附著在基板10上。然後將轉移膜17從基板10上拆下,從而將奈米碳管100留在基板10上,如第2E圖所示。
在一些實施例中,在將奈米碳管100轉移到基板10上之後,執行如第2F圖至第2J圖中所示的修整製程 (trimming proess)。在將奈米碳管100轉移到基板10上之後,如第2F圖(橫截面圖)和第2G圖(頂視圖/平面圖)所示,透過使用光刻操作在奈米碳管100的中心部分上形成作為覆蓋層的光阻圖案12。如第2H圖所示,暴露奈米碳管100的端部。在一些實施例中,光阻圖案12的寬度W21在約50nm至約2000nm的範圍內,並且在其他實施例中在約100nm至約1000nm的範圍內。然後,透過蝕刻去除奈米碳管100之暴露的端部,如第2I圖所示。然後,如第2J圖所示,接著透過使用有機溶劑的乾式蝕刻和/或濕式去除來移除光阻圖案12。在一些實施例中,在將奈米碳管100轉移到基板10之前,在虛設基板14上執行修整製程。
在將奈米碳管100轉移到基板10上之後,在設置在基板10上的奈米碳管100(第一組奈米碳管)上形成第一支撐層21,如第1B圖所示。在一些實施例中,第一支撐層21由與基板10相同的半導體材料製成並且磊晶地形成在基板10上。在一些實施例中,第一支撐層21由與基板10不同的半導體材料製成。在部分實施例中,矽鍺(SiGe)磊晶地形成在基板10上。在其他實施例中,在奈米碳管100上形成矽(Si)、鍺(Ge)和矽鍺(SiGe)之一的多晶或非晶材料。在部分實施例中,執行退火操作(例如,雷射退火),以使多晶或非晶材料層結晶。
在一些實施例中,當第一支撐層在第一組奈米碳管100上共形地形成時,第一支撐層的上表面具有波浪形狀, 其具有峰和谷。在一些實施例中,第一支撐層21的厚度在約2nm至約20nm的範圍內,並且在其他實施例中,在第一支撐層21的厚度在約5nm至15nm的範圍內。在其他實施例中,在形成具有波浪形狀之上表面的第一支撐層21之後,執行一個或多個平坦化操作以使第一支撐層21的上表面變平。平坦化操作包括回蝕製程或化學機械平坦化(chemical mechanical polishing,CMP)製程。在一個實施例中,使用化學機械平坦化。
此外,第二組奈米碳管100設置在第一支撐層21上,如第1C圖所示。然後,在第一支撐層21和第二組奈米碳管100上形成第二支撐層22,如第1C圖所示。在一些實施例中,第二支撐層22由與第一支撐層21相同的材料製成。在一些實施例中,在第一支撐層21上磊晶地形成矽。第二支撐層22的厚度與第一支撐層21的厚度實質上相同。在一些實施例中,相對於平均厚度,厚度的差異在±5%之內。此外,第三組至第六組的奈米碳管100設置在第二支撐層22上,如第1C圖所示。
在一些實施例中,重複一組奈米碳管的形成和支撐層的形成以形成n個支撐層,其中在每個支撐層中皆有奈米碳管嵌入,且其中n為2或更大的整數(例如,10)。在一些實施例中,n高達到20。第1C圖繪示一個實施例,其中形成六個支撐層(第一支撐層21、第二支撐層22、第三支撐層23、第四支撐層24、第五支撐層25和第六支撐層26),從而形成設置在支撐層20中的六層奈米碳管。在 以下說明中,第一支撐層21至第六支撐層26稱為支撐層20。
如第1C圖所示,一層中的奈米碳管100以實質上恆定的間距排列,並且在垂直方向上的奈米碳管對齊排列。然而,奈米碳管在支撐層20中的配置不限於第1C圖中所繪示的那些。在一些實施例中,一層中的奈米碳管在X方向上具有隨機的間距。在一些實施例中,當奈米碳管100的平均直徑是DCNT時,奈米碳管的水平間距PH是DCNT
Figure 109129954-A0305-02-0015-1
PH
Figure 109129954-A0305-02-0015-2
10×DCNT。在一些實施例中,兩個相鄰的奈米碳管彼此接觸。此外,在一些實施例中,在垂直方向上,不同層中的至少兩個奈米碳管100彼此不對齊。奈米碳管100的垂直間距PV由支撐層的厚度決定。在一些實施例中,相鄰層中奈米碳管100的垂直間距PV為0.9×PA
Figure 109129954-A0305-02-0015-4
PV
Figure 109129954-A0305-02-0015-5
1.1×PA,其中PA是多層的平均間距。在其他實施例中,垂直間距PV為0.95×PA
Figure 109129954-A0305-02-0015-7
PV
Figure 109129954-A0305-02-0015-8
1.05×PA
如上所述,奈米碳管100嵌入在基板10上方的支撐層20中。在一些實施例中,每個支撐層20是未摻雜的。在其他實施例中,每個支撐層20是摻雜的。
參照第3圖,透過使用一個或多個光刻和蝕刻操作,在支撐層20上形成遮罩圖案18,並且將具有奈米碳管100的支撐層20圖案化為一個或多個鰭式結構30,如第4圖所示。在一些實施例中,遮罩圖案18是光阻層,並且在其他實施例中是由介電材料製成的硬遮罩。在一些實施例中,透過任何合適的方法圖案化鰭式結構30。例如, 可以使用一個或多個光刻製程(包括雙圖案化或多圖案化製程)來圖案化鰭式結構。通常,雙圖案化或多圖案化製程組合光刻和自對準製程,以允許創建具有例如比使用單個、直接光刻製程可獲得的間距更小的間距的圖案。例如,在一個實施例中,在基板上形成犧牲層並使用光刻製程圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後去除犧牲層,接著可以使用剩餘的間隔物或心軸來圖案化鰭式結構。
在一些實施例中,鰭式結構30在X方向上的寬度在約5nm至約20nm的範圍內,並且在其他實施例中在約7nm至約12nm的範圍內。當基板10由與支撐層20相同或相似的材料製成時,基板10也被圖案化成鰭式結構,如第4圖所示。在其他實施例中,當基板10由與支撐層20不同的材料製成時,基板10未被圖案化。
在一些實施例中,每個鰭式結構的奈米碳管100的總數在約5至約100的範圍內,並且在其他實施例中在約10至約50的範圍內。
接下來,如第5圖所示,形成隔離絕緣層15。用於隔離絕緣層15的絕緣材料可以包括一層或多層氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)或低介電常數介電材料。隔離絕緣層透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積或可流動化學氣相沉積形成。 在可流動化學氣相沉積中,可以沉積可流動的介電材料而不是沉積氧化矽。如其名稱所示,可流動的介電材料可在沉積期間「流動」以填充具有高縱橫比的間隙或空間。通常,可將各種化學物質添加到含矽前驅物中以使沉積的膜流動。在一些實施例中,可添加氮化氫鍵。可流動的介電質前驅物,特別是可流動的氧化矽前驅物的實例包括矽酸鹽、矽氧烷、甲基矽氧烷(methyl silsesquioxane,MSQ)、含氫矽氧烷(hydrogen silsesquioxane,HSQ)、甲基矽氧烷/含氫矽氧烷(MSQ/HSQ)、全氮矽氮烷(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、矽酸四乙酯(tetraethyl orthosilicate,TEOS)、或甲矽烷基胺(silyl-amine)(例如,三甲矽烷基胺(trisilylamine,TSA))。這些可流動的氧化矽材料在多次操作製程中形成。在沉積可流動的薄膜之後,將其固化然後退火以除去不需要的元素並形成氧化矽。當除去不需要的元素後,可流動的膜會緻密化和收縮。在一些實施例中,進行多次退火製程。在一些實施例中,可流動的薄膜被固化並被退火不止一次。可流動的膜可以摻雜硼和/或磷。絕緣層首先形成為厚層,使得鰭式結構30嵌入厚層中,並且使厚層凹陷以暴露鰭式結構30的上部,如第5圖所示。透過使用乾式和/或濕式蝕刻可以使絕緣層凹陷。在使隔離絕緣層凹陷之後或之前,可以執行熱處理(例如,退火處理)以改善隔離絕緣層的品質。在部分實施例中,透過使用快速熱退火 (rapid thermal annealing,RTA)在惰性氣體環境(例如,在氮氣(N2)、氬氣(Ar)或氦氣(He)的環境)中,且在約900℃至約1050℃的溫度下進行約1.5秒至約10秒來進行熱處理。
在一些實施例中,最下面的奈米碳管位於隔離絕緣層15的上表面上方。在其他實施例中,最下面的奈米碳管位於相等於隔離絕緣層15的上表面之處或位於低於隔離絕緣層15的上表面之處。
隨後,在鰭式結構30上方形成犧牲閘極結構40,如第6A圖和第6B圖所示。第6A圖是沿X方向的橫截面圖而第6B圖是沿Y方向的橫截面圖。透過在鰭式結構30上毯覆式沉積犧牲閘極電極層來形成犧牲閘極結構40,使得鰭式結構30完全地嵌入在犧牲閘極電極層中。犧牲閘極電極層包括多晶或非晶的矽、鍺或矽鍺。在一些實施例中,犧牲閘極電極層的厚度在約100nm至約200nm的範圍內。在一些實施例中,犧牲閘極電極層經受平坦化製程。使用化學氣相沉積(包括低壓化學氣相沉積和電漿增強化學氣相沉積)、物理氣相沉積、原子層沉積或其他合適的製程沉積犧牲閘極電極層。在一些實施例中,在鰭式結構30和犧牲閘極電極層之間不形成犧牲閘極介電層,並且在其他實施例中,在鰭式結構30和犧牲閘極電極層之間形成犧牲閘極介電層。在一些實施例中,犧牲閘極介電層是厚度在約1nm和約2nm之間的二氧化矽。
隨後,在犧牲閘極電極層40上形成硬遮罩層42。 遮罩層42包括氮化矽(SiN)層和氧化矽層或適合於硬遮罩的任何其他材料中的一個或多個。接下來,對硬遮罩層執行圖案化操作,並且將犧牲閘極電極層圖案化為犧牲閘極結構40,如第6A圖和第6B圖所示。透過圖案化犧牲閘極結構,鰭式結構30部分地暴露在犧牲閘極結構40的相對側上,從而定義源極/汲極(S/D)區域,如第6B圖所示。在本公開中,源極和汲極可互換地使用,並且其結構實質上相同。在第6A圖和第6B圖中,兩個犧牲閘極結構40形成在兩個鰭式結構30上,但是犧牲閘極結構的數量並不限於這種配置。在一些實施例中,可以在Y方向上配置一個或多於兩個犧牲閘極結構。在部分實施例中,在犧牲閘極結構的兩側上形成一個或多個虛設犧牲閘極結構,以提高圖案保真度。
在形成犧牲閘極結構40之後,透過使用化學氣相沉積或其他合適的方法共形地形成用於閘極側壁間隔物44的絕緣材料的覆蓋層,如第7A圖和第7B圖所示。覆蓋層以共形方式沉積,使得其在犧牲閘極結構40的垂直表面(例如,側壁)、水平表面和頂部上形成具有實質上相等的厚度。在一些實施例中,覆蓋層沉積的厚度範圍為約2nm至約10nm。在一些實施例中,覆蓋層的絕緣材料是基於氮化矽的材料(例如,氮化矽(SiN)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)或氮碳化矽(SiCN)及其組合)。在部分實施例中,絕緣材料是碳氧化矽(SiOC)、氮氧碳化矽(SiCON)和氮碳化矽(SiCN)中的一種。如第7A 圖和第7B圖所示,在一些實施例中,奈米碳管100由支撐層20支撐,但不由側壁間隔物44支撐(固定)。在一些實施例中,在形成用於側壁間隔物44的覆蓋層之前,支撐層20被輕微的蝕刻以暴露出奈米碳管100的端部。在這種情況下,奈米碳管100的端部由側壁間隔物44支撐(固定),因此奈米碳管100的端部被絕緣材料覆蓋。
此外,如第7A圖和第7B圖所示,透過各向異性蝕刻在犧牲閘極結構40的相對側壁上形成閘極側壁間隔物44。在形成覆蓋層之後,使用例如反應離子蝕刻(reactive ion etching,RIE)對覆蓋層執行各向異性蝕刻。在各向異性蝕刻製程期間,大部分的絕緣材料從水平表面移除,留下在垂直表面(例如,犧牲閘極結構的側壁和暴露的鰭式結構的側壁)上的介電間隔層。遮罩層42可以從側壁間隔物44暴露。在一些實施例中,可以隨後執行各向同性蝕刻製程以從暴露的鰭式結構30的源極/汲極區域上部和/或側部移除絕緣材料。
在一些實施例中,鰭式結構30的源極/汲極區域被離子注入以在源極/汲極區域中摻雜雜質,以形成摻雜區域27,如第8A圖所示。在一些實施例中,針對n型場效應電晶體注入磷(P)和/或砷(As),並且針對p型場效應電晶體注入硼(B)(二氟化硼(BF2))和/或鎵(Ga)。在一些實施例中,控製劑量以使得源極/汲極的矽層中的雜質濃度在約1×1020原子/公分3至約1×1021原子/公分3的範圍內。在其他實施例中,源極/汲極的矽層中的雜質濃 度在約2×1020原子/公分3至約5×1020原子/公分3的範圍內。
在其他實施例中,在鰭式結構30的源極/汲極區域上方形成包含雜質的摻雜層38,如第8B圖所示。透過原位摻雜,包括矽(Si)、矽鍺(SiGe)或鍺(Ge)的摻雜層38對於n型場效應電晶體包含磷(P)和/或砷(As),對於p型場效應電晶體包含硼(B)和/或鎵(Ga)。在形成摻雜層38之後,執行熱退火操作以將雜質驅入源極/汲極區域內。在一些實施例中,在驅入退火之後去除摻雜層38,並且在其他實施例中,保留摻雜層38。
隨後,形成襯墊層46(例如,蝕刻停止層),以利用側壁間隔物44和暴露的鰭式結構30覆蓋犧牲閘極結構40。在一些實施例中,襯墊層46包括由氮化矽為基礎的材料(例如,氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)或氮碳化矽(SiCN)及其組合),其透過化學氣相沉積(包括低壓化學氣相沉積和電漿增強化學氣相沉積)、物理氣相沉積、原子層沉積或其他合適的製程形成。在部分實施例中,襯墊層46由氮化矽製成。此外,如第9A圖和第9B圖所示,形成第一層間介電(interlayer dielectric,ILD)層50。用於第一層間介電層50的材料包括包含矽(Si)、氧(O)、碳(C)和/或氫(H)的化合物(例如,氧化矽、碳矽氧化物(SiCOH)和碳氧化矽(SiOC))。有機材料(例如,聚合物)可以用於第一層間介電層50。在形成第一層間介電層50之後,執行 諸如化學機械平坦化的平坦化操作,從而暴露犧牲閘極結構40,如第9A圖和第9B圖所示。
然後,如第10A圖和第10B圖所示,去除犧牲閘極結構40,從而在閘極空間55中暴露鰭式結構的通道區域。可以使用電漿乾式蝕刻和/或濕式蝕刻去除犧牲閘極結構40。當犧牲閘極結構40是多晶矽並且第一層間介電層50是氧化矽時,可以使用諸如四甲基氫氧化銨(tetra methyl ammonium hydroxide,TMAH)溶液的濕蝕刻劑來選擇性地去除犧牲閘極結構40。
此外,如第11A圖和第11B圖所示,去除閘極空間55中的支撐層20以釋放奈米碳管100。可以使用電漿乾式蝕刻和/或濕式蝕刻選擇性地將支撐層20移除而不移除奈米碳管100。在一些實施例中,當支撐層20是矽時,矽層被氧化成氧化矽,並且透過適當的乾式和/或濕式蝕刻去除氧化矽。在一些實施例中,如第11B圖所示,支撐層20的一部分保留在側壁間隔物44的下方。
在釋放奈米碳管100的通道區域之後,在奈米碳管100周圍形成閘極介電層102,如第12A圖至第12D圖所示。第12C圖是閘極結構的放大圖。在一些實施例中,閘極介電層102包括一層或多層介電材料(例如氧化矽、氮化矽或高介電常數介電材料、其他合適的介電材料和/或它們的組合)。高介電常數介電材料的實例包括二氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿 (HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料和/或其組合。在一些實施例中,用於n通道場效應電晶體的閘極介電層102由二氧化鉿(HfO2)製成,並且用於p通道場效應電晶體的閘極介電層102由氧化鋁(Al2O3)製成。在一些實施例中,閘極介電層102的厚度在約0.5nm至約2.5nm的範圍內,並且在其他實施例中,閘極介電層102的厚度在約1.0nm至約2.0nm的範圍內。閘極介電層102可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用諸如原子層沉積的高度共形沉積製程來形成閘極介電層102,以確保所形成的閘極介電層102在奈米碳管100的每個通道區域周圍具有均勻的厚度。
在一些實施例中,在形成閘極介電層102之前,在奈米碳管100周圍形成界面層(未繪示)。界面層由例如二氧化矽(SiO2)製成,並且在一些實施例中具有約0.5nm至約1.5nm的厚度。在其他實施例中,界面層的厚度為約0.6nm至約1.0nm。
在部分實施例中,在閘極介電層102上形成一個或多個功函數調整層104。功函數調整層104由導電材料製成(例如,單層的氮化鈦(TiN)、氮化鉭(TaN)、碳化鋁鉭(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳鋁化鈦(TiAlC) 或由這些材料中的兩種或更多種的多層製成)。在部分實施例中,氮化鈦(TiN)作為功函數調整層104。功函數調整層104可以透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。此外,可以使用不同的金屬層單獨地形成用於n通道場效應電晶體和p通道場效應電晶體的功函數調整層104。在一些實施例中,功函數調整層104的厚度在約0.5nm至約5.0nm的範圍內,並且在其他實施例中,其厚度在約0.8nm至約1.5nm的範圍內。
然後,如第12A圖至第12D圖所示,在功函數調整層104上形成閘極電極層106。閘極電極層106包括一層或多層導電材料(例如,多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、金屬合金、其他合適的材料和/或它們的組合)。可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成閘極電極層106。閘極電極層106也沉積在第一層間介電層50的上表面上,然後透過使用諸如化學機械平坦化來平坦化在第一層間介電層50上的閘極介電層102、功函數調整層104和閘極電極層106,直到露出第一層間介電層50。
如第12A圖至第12D圖所示,閘極介電層102完全地包裹在每個奈米碳管100周圍,功函數調整層104 也完全地包裹在每個奈米碳管100周圍,並且閘極電極層106填充在相鄰之奈米碳管100的功函數調整層104之間所形成的空間中。在其他實施例中,如第12E圖所示,功函數調整層104填充相鄰之奈米碳管100的閘極介電層102之間的空間,並且閘極電極層106覆蓋功函數調整層104的外表面。
在一些實施例中,在形成閘極介電層102之前,在側壁間隔物44下方的奈米碳管100周圍形成絕緣內部間隔物。在一些實施例中,絕緣層藉由諸如原子層沉積或化學氣相沉積共形地形成在閘極空間55的內部。在一些實施例中,絕緣層由氧化矽、氮化矽、氮氧化矽(SiON)、氮碳化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)或任何其他合適的材料製成。然後蝕刻絕緣層以在側壁間隔物44下方的奈米碳管100周圍的支撐層20的端部上形成絕緣內部間隔物。
在一些實施例中,如第12B圖所示,支撐層20(半導體層)的一部分設置在閘極結構和摻雜區域27之間。支撐層20的一部分具有比摻雜區域27低的雜質濃度。
然後,如第13A圖和第13B圖所示,在第一層間介電層50上形成第二層間介電層60,並且透過使用一個或多個光刻和蝕刻操作形成源極/汲極接觸開口65。第13A圖繪示切割鰭式結構的源極/汲極區域的橫截面圖。在一些實施例中,第二層間介電層60被圖案化,使得鰭式結構的一部分從第二層間介電層60露出,如第13A圖所示。 在一些實施例中,僅暴露鰭式結構的頂表面。在其他實施例中,從隔離絕緣層15的上表面測量之鰭式結構的高度(H1)的約20%至約80%被暴露,即0.2H1
Figure 109129954-A0305-02-0026-9
H2
Figure 109129954-A0305-02-0026-10
0.8H1,其中H2是暴露部分的高度。在其他實施例中,暴露出隔離絕緣層15上方的實質上整個鰭式結構。
接下來,如第14A圖和第14B圖所示,源極/汲極接觸開口65填充有一層或多層導電材料,以形成源極/汲極接觸層70。導電材料包括鎢(W)、銅(Cu)、鈦(Ti)、銀(Ag)、鋁(Al)、鋁化鉭(TaAl)、氮鋁化鈦(TiAlN)、碳化鉭(TaC)、氮化碳鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鈷(Co)、鈀(Pd)、鎳(Ni)、錸(Re)、銥(Ir)、釕(Ru)、鉑(Pt)和鋯(Zr)中的一種或多種或任何其它合適的導電材料。
在一些實施例中,源極/汲極接觸層70包括氮化鈦(TiN)、鈦(Ti)和氮化鉭(TaN)中的一種或多種作為下層以及鎢(W)、銅(Cu)和鈷(Co)中的一種或多種作為上層。此外,在一些實施例中,一個或多個閘極接觸與源極/汲極接觸同時形成或者透過與源極/汲極接觸不同的操作形成。在一些實施例中,在鰭式結構和源極/汲極接觸層70之間形成矽化物層。在一些實施例中,矽化物層包括矽化鎳(NiSi)、矽化鈷(CoSi)和矽化鎢(WSi)。
如第14A圖和第14B圖所示,奈米碳管100包括由支撐層20分開的多組奈米碳管100。同一組中的奈米碳管100位於相同的高度,並且多個組位於彼此不同的 高度。
隨後,執行進一步的互補式金屬氧化物半導體製程以形成各種特徵(例如,附加的層間介電層、接觸/通孔、互連金屬層和鈍化層等)。
第15圖至第21圖繪示根據本公開另一實施例之使用奈米碳管的閘極全環場效應電晶體的順序製造製程的各個階段。應當理解,可以在第15圖至第21圖所示的過程之前、期間和之後提供附加操作,並且可以替換或消除下面描述的一些操作以作為此方法之另外的實施例。操作/製程的順序可以是可互換的。在下面的實施例中可採用與第1A圖至第14B圖所示之實施例相同或相似的材料、配置、尺寸、製程和/或操作,並且可以省略其詳細說明。
如第15圖所示,在基板10上形成底部支撐層115。在一些實施例中,底部支撐層115由絕緣材料製成。在一些實施例中,底部支撐層115包括一層或多層氧化矽、氮化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)和氮碳化矽(SiCN)或其他合適的絕緣材料。在其他實施例中,底部支撐層115包括矽(Si)、鍺(Ge)和矽鍺(SiGe)之一的多晶或非晶材料。底部支撐層115可以透過合適的成膜方法(例如,熱氧化、化學氣相沉積、物理氣相沉積或原子層沉積)形成。在部分實施例中,使用氧化矽(例如,二氧化矽(SiO2))作為底部支撐層115。然後,如第1B圖所示,一個或多個奈米碳管100配置在底部支撐層115上。在一些實施例中, 不使用底部支撐層115並且奈米碳管100直接設置在基板10上。
在將奈米碳管100設置在底部支撐層115上之後,在設置在底部支撐層115上的奈米碳管100(第一組奈米碳管)上形成第一支撐層120,如第15圖所示。在一些實施例中,支撐層120包括矽(Si)、鍺(Ge)和矽鍺(SiGe)之一的多晶或非晶材料。在其他實施例中,支撐層120包括一層或多層的氧化矽、氮化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)和氮碳化矽(SiCN)或其他合適的絕緣材料。在一些實施例中,支撐層120包括有機材料(例如,有機聚合物)。在部分實施例中,支撐層120由與底部支撐層115不同的材料製成。在其他實施例中,支撐層120由與底部支撐層115相同的材料製成。支撐層120可由合適的成膜方法(例如,化學氣相沉積、物理氣相沉積或原子層沉積)形成。在一個實施例中,原子層沉積因其高厚度均勻性和厚度可控性而被使用。重複摻雜奈米碳管100和形成支撐層120以形成如第15圖所示的堆疊結構。
類似於第3圖,透過使用一個或多個光刻和蝕刻操作,在支撐層20上形成遮罩圖案,並且將具有奈米碳管100的支撐層20圖案化成一個或多個鰭式結構30,如第16圖所示。如第16圖所示,底部支撐層115由與支撐層20相同或類似的材料製成,因此底部支撐層115也被圖案化成鰭式結構。當底部支撐層115由與支撐層20不同的 材料製成時,底部支撐層115可能不會被圖案化。
隨後,類似於第6A圖、第6B圖、第7A圖、第7B圖、第9A圖和第9B圖所示,在鰭式結構30上形成犧牲閘極結構40。隨後,形成閘極側壁間隔物44。隨後,形成襯墊層46(例如,蝕刻停止層)以利用側壁間隔物44和暴露的鰭式結構30覆蓋犧牲閘極結構40,並形成第一層間介電層50。在形成第一層間介電層50之後,執行諸如化學機械平坦化的平坦化操作,從而暴露出犧牲閘極結構40,如第17圖所示。
接下來,如第18圖所示,去除犧牲閘極結構40,從而在閘極空間55中暴露鰭式結構的通道區域。此外,去除閘極空間55中的支撐層20以釋放奈米碳管100。在釋放奈米碳管100的通道區域之後,在奈米碳管100周圍形成閘極介電層102,在閘極介電層102上形成功函數調整層104,並在功函數調整層104上形成閘極電極層106,如第19圖所示。
然後,參照第20圖,在第一層間介電層50上形成第二層間介電層60,並且透過使用一個或多個光刻和蝕刻操作形成源極/汲極接觸開口65。透過此操作,奈米碳管100的源極/汲極區域暴露在源極/汲極接觸開口65中。在一些實施例中,源極/汲極接觸開口65到達基板10,如第20圖所示。在一些實施例中,如第12B圖所示,支撐層20的一部分保留在側壁間隔物44下面。當支撐層20由介電材料製成時,剩餘的支撐層20作為隔離閘極電極層 106和隨後形成的源極/汲極接觸的絕緣內部間隔物。
接下來,如第21圖所示,在源極/汲極接觸開口65中形成半導體層28以包裹奈米碳管100。在一些實施例中,半導體層28磊晶地形成在暴露的基板10上。在一些實施例中,半導體層28是摻雜有雜質(例如,原位摻雜)(例如,用於n型場效應電晶體的磷(P)和/或砷(As)以及用於p型場效應電晶體的硼(B)和/或鎵(Ga))的晶體矽或矽鍺(SiGe)。在其他實施例中,半導體層28是摻雜有雜質(例如,用於n型場效應電晶體的磷(P)和/或砷(As)以及用於p型場效應電晶體的硼(B)和/或鎵(Ga))的多晶或非晶矽或矽鍺(SiGe)。在一些實施例中,半導體層28中的雜質濃度在約1×1020原子/公分3至1×1021原子/公分3的範圍內。在其他實施例中,半導體層28中的雜質濃度在約2×1020原子/公分3至5×1020原子/公分3的範圍內。此外,如第21圖所示,在半導體層28上形成源極/汲極接觸層70。在一些實施例中,在形成源極/汲極接觸層70之前,在半導體層28上執行回蝕刻操作。在一些實施例中,在形成源極/汲極接觸層70之前,圖案化第二層間介電層和第一層間介電層以形成更寬的源極/汲極開口,然後用導電材料填充源極/汲極開口以形成源極/汲極接觸層70。
隨後,執行進一步的互補式金屬氧化物半導體製程以形成各種特徵(例如,附加的層間介電層、接觸/通孔、互連金屬層和鈍化層等)。
第22A圖至第31B圖繪示根據本公開另一實施例之使用奈米碳管的平面型場效應電晶體的順序製造製程的各個階段。應當理解,可以在第22A圖至第31B圖所示的製程之前、期間和之後提供附加操作,並且可以替換或消除下面描述的一些操作以作為對於此方法的其他實施例。操作/製程的順序可以是可互換的。在下面的實施例中可採用與第1A圖至第21圖中所示之實施例相同或相似的材料、配置、尺寸、製程和/或操作,並且可以省略其詳細說明。在第22A圖至第31B圖中,「A」圖是沿源極/汲極區域中的閘極延伸方向(X)的橫截面視圖,「B」圖是沿著閘極下方源極至汲極方向(Y)的橫截面視圖。
如第22A圖和第22B圖所示,在基板10上形成底部支撐層13。在一些實施例中,底部支撐層13由絕緣材料製成。在一些實施例中,底部支撐層13包括一層或多層氧化矽、氮化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)和氮碳化矽(SiCN)或其他合適的絕緣材料。底部支撐層13可以透過合適的成膜方法(例如,熱氧化、化學氣相沉積、物理氣相沉積或原子層沉積)形成。在部分實施例中,使用氧化矽(例如,二氧化矽(SiO2))作為底部支撐層13。當奈米碳管100設置在底部支撐層13上之後,在奈米碳管100上形成犧牲閘極結構40,然後,形成閘極側壁間隔物44,如第23A圖和第23B圖所示。在一些實施例中,執行奈米碳管修整製程以調整奈米碳管的數量和/或奈米碳管的長度。
隨後,形成第一層間介電層50,如第24A圖和第24B圖所示。在形成第一層間介電層50之後,執行諸如化學機械平坦化的平坦化操作,以暴露犧牲閘極結構40,如第25A圖和第25B圖所示。
然後,去除犧牲閘極結構40,從而暴露奈米碳管100的通道區域。在釋放奈米碳管100的通道區域之後,形成閘極介電層102以圍繞(但又不完全圍繞)奈米碳管100,在閘極介電層102上形成功函數調整層104,並且在功函數調整層104上形成閘極電極層106,如第26A圖和第26B圖所示。
然後,如第27A圖和第27B圖所示,在第一層間介電層50上形成第二層間介電層60,並且透過使用一個或多個光刻和蝕刻操作形成源極/汲極接觸開口65,如第28A圖和第28B圖所示。透過此操作,奈米碳管100的源極/汲極區域暴露在源極/汲極接觸開口65中。在一些實施例中,如第28A圖和第28B圖所示,保留底部支撐層13的一部分。
接下來,如第29A圖和第29B圖所示,半導體層128形成在源極/汲極接觸開口65中以包裹奈米碳管100。在一些實施例中,半導體層128是摻雜有雜質(例如,用於n型場效應電晶體的磷(P)和/或砷(As)和用於p型場效應電晶體的硼(B)和/或鎵(Ga))的多晶或非晶矽或矽鍺(SiGe)。在一些實施例中,半導體層128中的雜質濃度在約1×1020原子/公分3至1×1021原子/公分3 的範圍內。在其他實施例中,半導體層128中的雜質濃度在約2×1020原子/公分3至5×1020原子/公分3的範圍內。此外,如第29A圖和第29B圖所示,在半導體層128上形成源極/汲極接觸層70。在一些實施例中,在形成源極/汲極接觸層70之前,在半導體層128上執行回蝕刻操作。
在一些實施例中,如第30A圖和第30B圖所示,當形成源極/汲極接觸開口65時,基板10被暴露。半導體層128磊晶地形成在暴露的基板10上,如第31A圖和第31B圖所示。在一些實施例中,半導體層128是摻雜有雜質(例如,用於n型場效應電晶體的磷(P)和/或砷(As)以及用於p型場效應電晶體的硼(B)和/或鎵(Ga))的晶體矽或矽鍺(SiGe)。
隨後,執行進一步的互補式金屬氧化物半導體製程以形成各種特徵(例如,附加的層間介電層、接觸/通孔、互連金屬層和鈍化層等)。
第32A圖和第32B圖繪示根據本公開另一實施例之使用奈米碳管的場效應電晶體。在下面的實施例中可採用與第1A圖至第31B圖所示的實施例中描述之相同或相似的材料、配置、尺寸、製程和/或操作,並且可以其省略詳細說明。在這些實施例中,奈米碳管100形成網絡。在一些實施例中,奈米碳管100垂直地和水平地隨機地設置在支撐層13上。
第33A圖至第33D圖繪示從摻雜的塊狀半導體材料摻雜到奈米碳管的模擬結果。
第33A圖繪示奈米碳管場效應電晶體的源極/汲極區域的模擬模型。模擬的奈米碳管具有1nm的直徑並且具有約0.8電子伏特(eV)的能隙,並且嵌入在n+摻雜的矽(Si)中。假設矽(Si)和奈米碳管之間的導帶偏移為0.1eV。第33B圖和第33C圖繪示相對於奈米碳管的中心距離r的導帶能量。第33B圖是矽(Si)中的摻雜濃度為1×1020原子/公分3的情況。第33C圖是矽(Si)中的摻雜濃度為2×1020原子/公分3的情況。奈米碳管中的載子密度在第33B圖中為0.44/nm且在第33C圖中為0.57/nm。此外,如第33D圖所示,增加矽(Si)中的摻雜量會增加奈米碳管中的載子密度。模擬結果表明,根據本公開實施例的奈米碳管場效應電晶體的源極/汲極結構在奈米碳管中可誘導足夠的載子。
應當理解,並非所有益處都必須在本文中討論,所有實施例或示例都不需要特別的益處,並且其他實施例或示例可以提供不同的益處。例如,在本公開中,因為奈米碳管的堆疊結構形成為鰭式結構,所以可以在閘極全環場效應電晶體內增加奈米碳管的密度。此外,透過在奈米碳管的源極/汲極區中利用摻雜的半導體層(例如,n+-Si),可以增加源極/汲極區域中的可移動的載子並減小源極/汲極區域中的接觸電阻。
根據本公開的一個方面,在形成閘極全環場效應電晶體的方法中,形成包括嵌入在半導體層中的奈米碳管的鰭式結構,在鰭式結構上形成犧牲閘極結構,在鰭式結構 的源極/汲極區域摻雜半導體層,形成層間介電層,透過圖案化層間介電層形成源極/汲極開口,以及在鰭式結構之摻雜的源極/汲極區域上形成源極/汲極接觸層。在前述和以下實施例中的一個或多個中,半導體層是晶體矽。在前述和以下實施例中的一個或多個中,摻雜後半導體層中的雜質濃度在1×1020原子/公分3至1×1021原子/公分3的範圍內。在前述和以下實施例中的一個或多個中,透過離子注入來摻雜半導體層。在前述和以下實施例中的一個或多個中,摻雜半導體層包括在源極/汲極區域上形成包含雜質的摻雜層,並透過熱操作將雜質驅動到源極/汲極區域中。在前述和以下實施例中的一個或多個中,半導體層是多晶或非晶的。在前述和以下實施例中的一個或多個中,透過以下步驟形成鰭式結構:(i)在基板上設置一組奈米碳管,(ii)形成磊晶半導體層以覆蓋奈米碳管,(iii)重複(i)和(ii)2至10次以形成堆疊結構,和(iv)透過一次或多次光刻和蝕刻操作對堆疊結構進行圖案化。在前述和以下實施例中的一個或多個中,磊晶半導體層由與基板相同的材料製成。在前述和以下實施例中的一個或多個中,磊晶半導體層的厚度在5nm至15nm的範圍內。在前述和以下實施例中的一個或多個中,在形成源極/汲極開口時,鰭式結構的高度的20%至80%暴露在源極/汲極開口中。
根據本公開的另一方面,在形成閘極全環場效應電晶體的方法中,在基板上形成其中奈米碳管嵌入在支撐材料中的鰭式結構,在鰭式結構上形成犧牲閘極結構,在犧 牲閘極結構和鰭式結構上形成絕緣層,去除犧牲閘極結構,使得鰭式結構的一部分暴露,從鰭式結構的暴露部分移除支撐材料使得奈米碳管的通道區域暴露,在奈米碳管之暴露的通道區域周圍形成閘極結構,在絕緣層中形成源極/汲極開口,去除源極/汲極開口中的支撐材料使得奈米碳管的源極/源極區域暴露,在源極/汲極開口中之暴露的奈米碳管周圍形成半導體層,並且在半導體層上形成源極/汲極接觸層。在前述和以下實施例中的一個或多個中,在形成源極/汲極開口之後,基板的一部分暴露於源極/汲極開口中。在前述和以下實施例中的一個或多個中,半導體層是在暴露的基板上磊晶地形成的晶體矽。在前述和以下實施例中的一個或多個中,在形成源極/汲極開口之後,在基板和源極/汲極開口的底部之間設置介電層,使得基板不暴露。在前述和以下實施例中的一個或多個中,半導體層是多晶或非晶的。在前述和以下實施例中的一個或多個中,半導體層中的雜質濃度在2×1020原子/公分3至5×1020原子/公分3的範圍內。
根據本公開的另一方面,在形成場效應電晶體的方法中,在支撐層上設置奈米碳管,在奈米碳管上形成犧牲閘極結構,在犧牲閘極結構和奈米碳管上形成絕緣層,用金屬閘極結構代替犧牲閘極結構,在絕緣層中形成源極/汲極開口,在源極/汲極開口中之暴露的奈米碳管周圍形成半導體層,在半導體層上形成源極/汲極接觸層。在前述和以下實施例中的一個或多個中,在形成源極/汲極開口之後, 基板的一部分暴露在源極/汲極開口中。在前述和以下實施例中的一個或多個中,半導體層是在暴露的基板上磊晶地形成的晶體矽。在前述和以下實施例中的一個或多個中,在形成源極/汲極開口之後,在基板和源極/汲極開口的底部之間設置介電層,使得基板不被暴露。
根據本公開的一個方面,具有閘極全環場效應電晶體的半導體裝置包括設置在基板上的奈米碳管,在通道區域中圍繞每個奈米碳管形成的閘極結構,摻雜的半導體層包裹在源極/汲極區域中的每個奈米碳管周圍,以及在半導體層上形成的源極/汲極接觸。在前述和以下實施例中的一個或多個中,摻雜半導體層是摻雜有雜質的晶體矽。在前述和以下實施例中的一個或多個中,摻雜的半導體層中的雜質濃度在1×1020原子/公分3至1×1021原子/公分3的範圍內。在前述和以下實施例中的一個或多個中,閘極全環場效應電晶體是n型場效應電晶體,並且摻雜的半導體層包含磷(P)和砷(As)中的至少一種作為雜質。在前述和以下實施例中的一個或多個中,閘極全環場效應電晶體是p型場效應電晶體,並且摻雜的半導體層包含硼(B)和鎵(Ga)中的至少一種作為雜質。在前述和以下實施例中的一個或多個中,雜質濃度低於摻雜的半導體層的半導體層設置在摻雜的半導體層和閘極結構之間。在前述和後述實施例中的一個或多個中,奈米碳管包括多組奈米碳管,同一組中的奈米碳管位於相同的高度,並且多個組別位於彼此不同的高度。在前述和以下實施例中的一個或多個中, 其中一組與相鄰的組分開5nm至15nm範圍內的距離。在前述和以下實施例中的一個或多個中,摻雜的半導體層和基板由矽(Si)和矽鍺(SiGe)中的一種製成。在前述和以下實施例中的一個或多個中,奈米碳管的末端被介電材料覆蓋。
根據本公開的另一方面,具有場效應電晶體的半導體裝置包括設置在基板上方的隔離絕緣層,設置在基板上方的奈米碳管,在通道區域中圍繞每個奈米碳管形成的閘極結構,在源極/汲極區中包圍每個奈米碳管周圍之摻雜的半導體層,以及在半導體層上形成的源極/汲極接觸。摻雜的半導體層與隔離絕緣層接觸。在前述和以下實施例中的一個或多個中,摻雜的半導體層穿過隔離絕緣層並與基板接觸。在前述和以下實施例中的一個或多個中,摻雜的半導體層不與基板接觸。在前述和後述實施例中的一個或多個中,閘極結構包括圍繞每個奈米碳管的閘極介電層,形成在閘極介電層上的功函數調整層和形成在功函數調整層上的閘極電極層。在前述和後面的實施例中的一個或多個中,功函數調整層透過閘極介電層部分地包圍奈米碳管。在前述和後面的實施例中的一個或多個中,功函數調整層透過閘極介電層完全地環繞每個奈米碳管。在前述和以下實施例中的一個或多個中,閘極介電層包括選自由二氧化鉿(HfO2)和氧化鋁(Al2O3)組成的群組中的一種。在前述和以下實施例中的一個或多個中,功函數調整層包括氮化鈦(TiN)。在前述和以下實施例中的一個或多個中, 摻雜的半導體層由矽鍺(SiGe)製成。
根據本公開的另一方面,具有閘極全環場效應電晶體的半導體裝置包括第一閘極全環場效應電晶體和第二閘極全環場效應電晶體。第一閘極全環場效應電晶體和第二閘極全環場效應電晶體中的每一個皆包括設置在基板上的奈米碳管,以及在通道區域中圍繞奈米碳管形成的閘極結構。奈米碳管由第一閘極全環場效應電晶體和第二閘極全環場效應電晶體共享,並且奈米碳管的源極/汲極區域被摻雜的矽層纏繞。
以上概述了若干實施例或示例的特徵,使得本領域技術人員可以更好地理解本公開的方面。本領域技術人員應當理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施例或示例相同的目的和/或相同的益處。本領域的技術人員還應該理解,這樣的等同構造不背離本公開的精神和範圍,並且它們可以進行各種改變、替換和變更而不脫離本公開的精神和範圍。
10:基板
28:半導體層
44:側壁間隔物
46:襯墊層
50:第一層間介電層
60:第二層間介電層
70:源極/汲極接觸層
100:奈米碳管
102:閘極介電層
104:功函數調整層
106:閘極電極層
115:底部支撐層
120:支撐層
Y:方向
Z:方向

Claims (10)

  1. 一種形成閘極全環場效應電晶體的方法,包含:形成包含嵌入於一半導體層中的複數個奈米碳管的一鰭式結構;形成一犧牲閘極結構於該鰭式結構上;摻雜該半導體層於該鰭式結構的一源極/汲極區域;形成一層間介電層於摻雜的該源極/汲極區域和該犧牲閘極結構上;透過圖案化該層間介電層形成一源極/汲極開口;以及形成一源極/汲極接觸層於該鰭式結構之摻雜的該源極/汲極區域上。
  2. 如請求項1所述之方法,其中該半導體層是晶體矽。
  3. 如請求項1所述之方法,其中在摻雜後,該半導體層的一雜質濃度在1×1020原子/公分3至1×1021原子/公分3的範圍內。
  4. 如請求項1所述之方法,其中透過離子注入來摻雜該半導體層。
  5. 如請求項1所述之方法,其中摻雜該半導體 層包含:形成含有複數個雜質的一摻雜層於該源極/汲極區域上;以及透過一熱操作將該些雜質驅入該源極/汲極區域中。
  6. 如請求項1所述之方法,其中在形成該源極/汲極開口時,該鰭式結構的一高度的20%至80%暴露在該源極/汲極開口中。
  7. 一種形成閘極全環場效應電晶體的方法,包含:形成一鰭式結構於一基板上,其中該鰭式結構的複數個奈米碳管嵌入一支撐材料中;形成一犧牲閘極結構於該鰭式結構上;形成一絕緣層於該犧牲閘極結構和該鰭式結構上;去除該犧牲閘極結構,以暴露該鰭式結構的一部分;從該鰭式結構之暴露的該部分移除該支撐材料,以暴露該些奈米碳管的複數個通道區域;形成一閘極結構於該些奈米碳管之暴露的該些通道區域周圍;形成一源極/汲極開口於該絕緣層中;去除該源極/汲極開口中的該支撐材料,以暴露該些奈米碳管的複數個源極/汲極區域;形成一半導體層於該源極/汲極開口中之暴露的該些奈 米碳管周圍;以及形成一源極/汲極接觸層於該半導體層上。
  8. 如請求項7所述之方法,其中在形成該源極/汲極開口之後,在該基板與該源極/汲極開口的一底部之間設置一介電層,使得該基板不被暴露。
  9. 一種具有場效應電晶體的半導體裝置,包含:複數個奈米碳管,設置在一基板上;一閘極結構,形成在一通道區域中的每個該些奈米碳管周圍;一摻雜的半導體層,包圍在一源極/汲極區域中的每個該些奈米碳管周圍;一半導體層,設置在該摻雜的半導體層和該閘極結構之間,其中該半導體層的雜質濃度低於該摻雜的半導體層的雜質濃度;以及一源極/汲極接觸,形成在該摻雜的半導體層上。
  10. 如請求項9所述之半導體裝置,其中該摻雜的半導體層的雜質濃度在1×1020原子/公分3至1×1021原子/公分3的範圍內。
TW109129954A 2019-09-05 2020-09-01 閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法 TWI745066B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/562,423 US11165032B2 (en) 2019-09-05 2019-09-05 Field effect transistor using carbon nanotubes
US16/562,423 2019-09-05

Publications (2)

Publication Number Publication Date
TW202111818A TW202111818A (zh) 2021-03-16
TWI745066B true TWI745066B (zh) 2021-11-01

Family

ID=74644629

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129954A TWI745066B (zh) 2019-09-05 2020-09-01 閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法

Country Status (5)

Country Link
US (2) US11165032B2 (zh)
KR (1) KR102293697B1 (zh)
CN (1) CN112447853A (zh)
DE (1) DE102019124233A1 (zh)
TW (1) TWI745066B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11930696B2 (en) 2021-03-18 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication method of a double-gate carbon nanotube transistor
US20220320319A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201347046A (zh) * 2011-12-23 2013-11-16 Intel Corp 非平面閘極環繞元件及其製作方法
TW201820634A (zh) * 2016-11-18 2018-06-01 台灣積體電路製造股份有限公司 多閘極元件與其形成之方法
TW201901960A (zh) * 2017-04-05 2019-01-01 美商格芯(美國)集成電路科技有限公司 具有二極體隔離之堆疊奈米片場效電晶體
TW201905989A (zh) * 2017-06-20 2019-02-01 台灣積體電路製造股份有限公司 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法
TW201931601A (zh) * 2018-01-10 2019-08-01 美商格芯(美國)集成電路科技有限公司 形成具有環繞式閘極場效電晶體之積體電路的方法及所產生的結構

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6896864B2 (en) * 2001-07-10 2005-05-24 Battelle Memorial Institute Spatial localization of dispersed single walled carbon nanotubes into useful structures
WO2003050854A2 (en) * 2001-12-12 2003-06-19 The Pennsylvania State University Chemical reactor templates: sacrificial layer fabrication and template use
US6982903B2 (en) * 2003-06-09 2006-01-03 Nantero, Inc. Field effect devices having a source controlled via a nanotube switching element
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
DE102004001340A1 (de) * 2004-01-08 2005-08-04 Infineon Technologies Ag Verfahren zum Herstellen eines Nanoelement-Feldeffektransistors, Nanoelement-Feldeffekttransistor und Nanoelement-Anordnung
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7345307B2 (en) * 2004-10-12 2008-03-18 Nanosys, Inc. Fully integrated organic layered processes for making plastic electronics based on conductive polymers and semiconductor nanowires
US20070246784A1 (en) * 2004-10-13 2007-10-25 Samsung Electronics Co., Ltd. Unipolar nanotube transistor using a carrier-trapping material
JP2006128233A (ja) * 2004-10-27 2006-05-18 Hitachi Ltd 半導体材料および電界効果トランジスタとそれらの製造方法
US7492015B2 (en) * 2005-11-10 2009-02-17 International Business Machines Corporation Complementary carbon nanotube triple gate technology
US20080128760A1 (en) * 2006-12-04 2008-06-05 Electronics And Telecommunications Research Institute Schottky barrier nanowire field effect transistor and method for fabricating the same
KR100820174B1 (ko) * 2006-12-05 2008-04-08 한국전자통신연구원 수직구조의 탄소나노튜브를 이용한 전자소자 및 그제조방법
GB0801494D0 (en) * 2007-02-23 2008-03-05 Univ Ind & Acad Collaboration Nonvolatile memory electronic device using nanowire used as charge channel and nanoparticles used as charge trap and method for manufacturing the same
KR101377597B1 (ko) * 2007-03-21 2014-03-27 삼성디스플레이 주식회사 트랜지스터 및 그 제조방법
JP5196500B2 (ja) * 2007-05-24 2013-05-15 独立行政法人産業技術総合研究所 記憶素子及びその読み出し方法
US7923310B2 (en) * 2007-07-17 2011-04-12 Sharp Laboratories Of America, Inc. Core-shell-shell nanowire transistor and fabrication method
US8063430B2 (en) * 2007-10-18 2011-11-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing and operating same
US7482652B1 (en) * 2008-01-02 2009-01-27 International Business Machines Corporation Multiwalled carbon nanotube memory device
WO2009151001A1 (ja) * 2008-06-09 2009-12-17 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタ及びその作製方法、並びにこれを含む集積回路
US8946683B2 (en) * 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
FR2945891B1 (fr) * 2009-05-19 2011-07-15 Commissariat Energie Atomique Structure semiconductrice et procede de realisation d'une structure semiconductrice.
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8124463B2 (en) * 2009-09-21 2012-02-28 International Business Machines Corporation Local bottom gates for graphene and carbon nanotube devices
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8513099B2 (en) * 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US8288759B2 (en) * 2010-08-04 2012-10-16 Zhihong Chen Vertical stacking of carbon nanotube arrays for current enhancement and control
KR20120100630A (ko) * 2011-03-04 2012-09-12 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
KR101813173B1 (ko) * 2011-03-30 2017-12-29 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
US8642996B2 (en) * 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
US8785911B2 (en) * 2011-06-23 2014-07-22 International Business Machines Corporation Graphene or carbon nanotube devices with localized bottom gates and gate dielectric
CN104137237B (zh) * 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
WO2013101230A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Variable gate width for gate all-around transistors
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
CN103579295B (zh) * 2012-07-25 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
US8652891B1 (en) * 2012-07-25 2014-02-18 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method of manufacturing the same
US8741756B2 (en) * 2012-08-13 2014-06-03 International Business Machines Corporation Contacts-first self-aligned carbon nanotube transistor with gate-all-around
US8658518B1 (en) * 2012-08-17 2014-02-25 International Business Machines Corporation Techniques for metal gate work function engineering to enable multiple threshold voltage nanowire FET devices
US9105702B2 (en) * 2012-11-16 2015-08-11 International Business Machines Corporation Transistors from vertical stacking of carbon nanotube thin films
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9590037B2 (en) * 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9287358B2 (en) * 2014-03-21 2016-03-15 International Business Machines Corporation Stressed nanowire stack for field effect transistor
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
WO2016048377A1 (en) * 2014-09-26 2016-03-31 Intel Corporation Metal oxide metal field effect transistors (momfets)
US9312186B1 (en) * 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
US9412817B2 (en) * 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9607990B2 (en) * 2015-08-28 2017-03-28 International Business Machines Corporation Method to form strained nFET and strained pFET nanowires on a same substrate
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
KR102315275B1 (ko) * 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
KR102379701B1 (ko) * 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
WO2017120102A1 (en) * 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
TWI686351B (zh) * 2016-04-01 2020-03-01 聯華電子股份有限公司 奈米線電晶體及其製作方法
CN116110941A (zh) * 2016-04-25 2023-05-12 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成
US9842835B1 (en) * 2016-10-10 2017-12-12 International Business Machines Corporation High density nanosheet diodes
US9923055B1 (en) * 2016-10-31 2018-03-20 International Business Machines Corporation Inner spacer for nanosheet transistors
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR102574454B1 (ko) * 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10002939B1 (en) * 2017-02-16 2018-06-19 International Business Machines Corporation Nanosheet transistors having thin and thick gate dielectric material
US9847390B1 (en) * 2017-02-16 2017-12-19 Globalfoundries Inc. Self-aligned wrap-around contacts for nanosheet devices
US9991254B1 (en) * 2017-03-09 2018-06-05 International Business Machines Corporation Forming horizontal bipolar junction transistor compatible with nanosheets
US10297663B2 (en) * 2017-04-19 2019-05-21 International Business Machines Corporation Gate fill utilizing replacement spacer
US10930793B2 (en) * 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10211307B2 (en) * 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
CN109300789B (zh) 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
DE112017007991T5 (de) 2017-08-21 2020-06-04 Intel Corporation Selbstausgerichteter nanodraht
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10355102B2 (en) * 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10535733B2 (en) * 2018-01-11 2020-01-14 International Business Machines Corporation Method of forming a nanosheet transistor
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
US10263100B1 (en) * 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US10971585B2 (en) * 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US11869973B2 (en) * 2018-06-20 2024-01-09 Intel Corporation Nanowire transistor structure and method of shaping
US10692866B2 (en) * 2018-07-16 2020-06-23 International Business Machines Corporation Co-integrated channel and gate formation scheme for nanosheet transistors having separately tuned threshold voltages
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices
US10818792B2 (en) * 2018-08-21 2020-10-27 Globalfoundries Inc. Nanosheet field-effect transistors formed with sacrificial spacers
US10727427B2 (en) * 2018-08-31 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US10573755B1 (en) * 2018-09-12 2020-02-25 International Business Machines Corporation Nanosheet FET with box isolation on substrate
KR102088706B1 (ko) * 2018-09-21 2020-03-16 포항공과대학교 산학협력단 금속 소스/드레인 기반 전계효과 트랜지스터 및 이의 제조방법
US10629752B1 (en) * 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11088337B2 (en) * 2018-11-20 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
KR102673872B1 (ko) * 2019-03-20 2024-06-10 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US20200357911A1 (en) * 2019-05-08 2020-11-12 Globalfoundries Inc. Gate-all-around field effect transistors with inner spacers and methods
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20200143988A (ko) * 2019-06-17 2020-12-28 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR20210000815A (ko) * 2019-06-26 2021-01-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US10903317B1 (en) * 2019-08-07 2021-01-26 Globalfoundries U.S. Inc. Gate-all-around field effect transistors with robust inner spacers and methods
US11417729B2 (en) * 2019-08-29 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with channels formed of low-dimensional materials and method forming same
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
KR20210104294A (ko) * 2020-02-17 2021-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11342432B2 (en) * 2020-03-27 2022-05-24 Intel Corporation Gate-all-around integrated circuit structures having insulator fin on insulator substrate
US11804532B2 (en) * 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
US12009435B2 (en) * 2021-09-13 2024-06-11 International Business Machines Corporation Integrated nanosheet field effect transistors and floating gate memory cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201347046A (zh) * 2011-12-23 2013-11-16 Intel Corp 非平面閘極環繞元件及其製作方法
TW201820634A (zh) * 2016-11-18 2018-06-01 台灣積體電路製造股份有限公司 多閘極元件與其形成之方法
TW201901960A (zh) * 2017-04-05 2019-01-01 美商格芯(美國)集成電路科技有限公司 具有二極體隔離之堆疊奈米片場效電晶體
TW201905989A (zh) * 2017-06-20 2019-02-01 台灣積體電路製造股份有限公司 具有環繞式閘極場效電晶體之半導體裝置、製造環繞式閘極場效電晶體的方法及其閘極結構的形成方法
TW201931601A (zh) * 2018-01-10 2019-08-01 美商格芯(美國)集成電路科技有限公司 形成具有環繞式閘極場效電晶體之積體電路的方法及所產生的結構

Also Published As

Publication number Publication date
US11165032B2 (en) 2021-11-02
DE102019124233A1 (de) 2021-03-11
TW202111818A (zh) 2021-03-16
US20210074810A1 (en) 2021-03-11
KR102293697B1 (ko) 2021-08-27
KR20210029642A (ko) 2021-03-16
US20220052283A1 (en) 2022-02-17
CN112447853A (zh) 2021-03-05

Similar Documents

Publication Publication Date Title
US11659721B2 (en) Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
US12010856B2 (en) Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
TWI740447B (zh) 具有標準單元的半導體元件及其製造方法
TWI745066B (zh) 閘極全環場效應電晶體和製造閘極全環場效應電晶體的方法
US20210066100A1 (en) Semiconductor Devices and Methods of Manufacturing
KR102354012B1 (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
US11653507B2 (en) Gate all around semiconductor structure with diffusion break
KR102291838B1 (ko) 카본 나노튜브를 이용한 전계 효과 트랜지스터 제조 방법 및 전계 효과 트랜지스터
TWI707407B (zh) 半導體元件及其製造方法
TWI793598B (zh) 半導體元件及其製備方法
TWI840704B (zh) 半導體裝置結構及其形成方法
US20220344484A1 (en) Tuning Gate Lengths In Multi-Gate Field Effect Transistors
US20220271148A1 (en) Semiconductor device structure and method for forming the same