TWI778681B - 半導體裝置和其形成方法 - Google Patents

半導體裝置和其形成方法 Download PDF

Info

Publication number
TWI778681B
TWI778681B TW110123024A TW110123024A TWI778681B TW I778681 B TWI778681 B TW I778681B TW 110123024 A TW110123024 A TW 110123024A TW 110123024 A TW110123024 A TW 110123024A TW I778681 B TWI778681 B TW I778681B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
wires
semiconductor device
gate
Prior art date
Application number
TW110123024A
Other languages
English (en)
Other versions
TW202201824A (zh
Inventor
林世杰
李乾銘
野口紘希
宋明遠
黃彥霖
衛倫 葛
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201824A publication Critical patent/TW202201824A/zh
Application granted granted Critical
Publication of TWI778681B publication Critical patent/TWI778681B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Hall/Mr Elements (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種半導體裝置,包括具有第一側和第二側的基板、包括第一突起上方的第一閘極以及插入第一突起的第一源極特徵和第一汲極特徵的第一電晶體、設置鄰近於第一突起且具有至少部分延伸進基板中的第一埋藏式接觸、設置在第一汲極特徵上方的第一接觸插塞、設置在第一接觸插塞上方且透過第一接觸插塞電性連接至第一汲極特徵的多個第一導線、穿過基板且連接至第一埋藏式接觸的第一通孔,以及設置在基板的第二側上方且電性連接至第一通孔的多個第二導線。第一埋藏式接觸電性連接至第一源極特徵或第一閘極。

Description

半導體裝置和其形成方法
本公開是關於半導體裝置和其形成方法。
半導體記憶體用於電子產品的積體電路中,包括例如手機和個人電腦裝置。半導體記憶體裝置的一種類型是涉及自旋電子的磁阻式隨機存取記憶體(Magneto-Resistive Random Access Memory,MRAM),其結合半導體技術和磁性材料與裝置。藉由電子磁矩利用電子的自旋(而非電子的電荷)來儲存位元數據。
習知的MRAM單元是自旋轉移矩(Spin-Transfer Torque,STT)MRAM單元。經典的STT-MRAM單元可包括磁性隧道接面(Magnetic Tunnel Junction,MTJ)堆疊,其包括釘扎層(pinning layer)、在釘扎層上方的被釘扎層(pinned layer)、在被釘扎層上方的隧道層(tunnel layer)和在隧道層上方的自由層。在形成MRAM單元的期間,首先沉積多層的毯 覆層。接著藉由微影和蝕刻製程圖案化毯覆層以形成MTJ堆疊。
由於程式化電流(programming current)需要穿過隧道層並降解或損壞隧道層,STT-MRAM單元面臨可靠度問題,因此開發了自旋軌道矩(Spin Orbit Torque,SOT)MRAM。在操控SOT-MRAM單元中,程式化電流不穿過隧道層,並且因此改善SOT-MRAM的可靠度,使得SOT-MRAM的可靠度超越STT-MRAM的可靠度。
根據本公開的一些實施例,提供一種半導體裝置包括具有第一側和第二側的基板、設置在第一側上的第一電晶體,其中第一電晶體包括在第一突起上方的第一閘極以及在第一閘極的相對側上的第一源極特徵和第一汲極特徵的。半導體裝置還包括設置鄰近於第一突起且具有至少部分延伸進基板中的第一埋藏式接觸,其中第一埋藏式接觸電性連接至第一源極特徵或第一閘極。半導體裝置還包括設置在第一汲極特徵上方的第一接觸插塞、設置在第一接觸插塞上方且透過第一接觸插塞電性連接至第一汲極特徵的多個第一導線、穿過基板且連接至第一埋藏式接觸的第一通孔,以及設置在基板的第二側上方且電性連接至第一通孔的多個第二導線。
根據本公開的一些實施例,提供一種半導體裝置包 括具有第一側和第二側的基板以及記憶體裝置。記憶體裝置包括設置在基板的第一側上方的電晶體,其中電晶體包括閘極以及由閘極介入之間的源極特徵和汲極特徵。記憶體裝置還包括設置在電晶體上方的多個第一導線、設置在第一導線上方的記憶體堆疊,以及設置在基板的第二側上方的多個第二導線,其中第二導線之中至少一者是記憶體裝置的源極導線或字元線。
根據本公開的一些實施例,提供一種形成半導體裝置的方法,包括形成突起在基板的一側上方、形成鄰近於突起的埋藏式接觸,其中埋藏式接觸具有部分延伸進基板中。方法還包括形成圍繞突起的閘極、形成在突起的區域中的磊晶特徵,其中閘極或磊晶特徵電性連接至埋藏式接觸。方法還包括形成在閘極和磊晶特徵上方的多個第一導線、形成穿過基板且連接至埋藏式接觸的通孔,以及形成在基板的另一側上方的多個第二導線,其中第二導線電性連接至通孔。
100:半導體裝置
100B:後段部分
100BB:背側後段部分
100F:前段部分
100M:單元區域
100R,100R':繞線區域
101:單元
102:基板
102A:第一側
102B:第二側
104:突起
106:遮罩層
108:絕緣層
110A,110B:埋藏式接觸孔洞
112A,112B:埋藏式接觸
114A,114B:空腔
120:保護層
130:電晶體
130D:汲極特徵
130S:源極特徵
130D/S:汲極/源極特徵
132:虛擬閘極條
140:絕緣層
150,150WL:閘極結構
154:源極接觸插塞
156:介電層
158:汲極接觸插塞
160:介電層
162,162SL:導線
164:通孔
166:導線
168:介電層
170:底部電極
174:介電層
180:自旋軌道矩層
182:磁性隧道接面堆疊
182A:自由層
182B:阻障層
182C:參考層
182D:間隔層
182E:合成反鐵磁層
184:頂部電極
184A:釕層
184B:鉭層
185:記憶體堆疊/SOT-MRAM堆疊
186:介電層
188:通孔
190:導線
192:通孔
194:導線
196:介電層
198:基板穿透通孔
200:介電層
202:導線
210:介電層
214:通孔
216:導線
220:鈍化層
250:通孔
300,400:半導體裝置
385:SOT-MRAM堆疊
A-A,B-B,C-C,D-D:截面
D:深度
H:高度
X,Y:方向
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。
第1A圖至第14A圖、第1B圖至第14B圖、第12C圖、第14C圖和第15圖至第26圖繪示根據一些實施 例的半導體裝置在製造中間階段的截面圖和俯視圖。
第27圖至第29圖繪示根據一些實施例的半導體裝置在製造中間階段的截面圖和俯視圖。
第30圖繪示根據一些實施例的SOT-MRAM裝置在製造中間階段的截面圖。
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
本文描述的實施例是為了提供製造或使用本公開的主題的可行示例,並且本領域技術人員可理解在不脫離不同實施例的考慮範圍內,可以進行各種改變。在多個視圖和繪示的實施例之中,使用相似的參考數字以代指相似的元件。儘管所述的方法實施例可依照特定的順序執行,其他方法實施例可依照任何邏輯的順序執行。
根據本公開的多個實施例,第1A圖至第14A圖、第1B圖至第14B圖、第12C圖、第14C圖和第15圖至第30圖是半導體裝置在製造中間階段的示例性截面圖和俯視圖。第1A圖至第14A圖的截面圖對應於第12C圖、第14C圖和第15圖所示的俯視圖的截面,例如第12C圖所標示的示例截面A-A。第1B圖至第14B圖的截面圖對應於第12C圖、第14C圖和第15圖所示的俯視圖的截面,例如第12C圖所標示的示例截面B-B。第16圖至第24圖、第26圖和第30圖的截面圖對應於第15圖所示的俯視圖的截面,例如第15圖所標示的示例截面C-C。第27圖的截面圖對應於第28圖所示的俯視圖的截面,例如第28圖所標示的示例截面C-C。第25圖和第29圖對應於第15圖和第28圖所示的俯視圖的截面,例如第15圖所標示的示例截面D-D。
參考第24圖,在一些實施例中,半導體裝置100包括前段(front end of line,FEOL)部分100F和設置在前段部分100F的一側上方的後段(back end of line,BEOL)部分100B。半導體裝置100亦包括設置 在前段部分100F的其他側上方的背側後段部分100BB。
半導體裝置100可包括用於設置多種裝置的多個區域。在一些實施例中,半導體裝置100包括記憶體裝置的單元區域、邏輯電路的邏輯區域、提供垂直路徑的繞線區域、其他功能性電路的區域或上述的組合。例如,參考第15圖和第24圖,半導體裝置100可包括記憶體裝置,其中包括在單元區域100M中配置成矩陣的多個記憶體單元101。根據一些實施例,記憶體裝置的各個單元101包括設置在後段部分100B中的記憶體堆疊185。各個記憶體堆疊185可以電性連接至一或多個電晶體130,其中電晶體130設置在單元區域100M之中的前段部分100F中。各個記憶體堆疊185可儲存經讀取或寫入的單一位元。在一些實施例中,電晶體130是鰭式場效應電晶體(fin field-effect transitor,FinFET)或閘極全環繞場效應電晶體(gate-all-around field-effect transistor,GAAFET)。
在一些實施例中,形成繞線區域(routing region)100R在Y方向上鄰近於單元區域100M,如第15圖所繪示。替代地,可形成繞線區域100R'(例如參考第24圖)在垂直於Y方向(例如第15圖所繪示的X方向)上鄰近於單元區域100M。在一些實施例中,繞線區域100R連接繞線區域100R'。繞線區域100R和繞線區域100R'提供垂直路徑,以形成後段部分100B和背側後段部分100BB之間的電性連接。然而,根據一些實施例, 可省略繞線區域100R和繞線區域100R',並且垂直路徑可結合於半導體裝置100的任何區域,例如單元區域100M及/或邏輯區域。
參考第1A圖、第1B圖和第12C圖,繪示半導體裝置100的製造中間階段。基板102包括第一側102A和相對於第一側102A的第二側102B。基板102可以是半導體基板(例如摻雜或未摻雜的矽)或絕緣體上半導體(semiconductor-on-insulator,SOI)基板的主動層。半導體基板可包括其他半導體材料,例如鍺、化合物半導體(包括碳化矽、砷化鎵、磷化鎵、氮化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或上述的組合。也可使用其他基板,例如多層或漸變基板。
根據一些實施例,形成多個突起104在基板102的第一側102A上方。突起104可包括橫向延伸的奈米導線的鰭片或堆疊。突起104沿著X方向延伸,如第12C圖所繪示。根據一些實施例,形成遮罩層106在突起104上方。遮罩層106可幫助定義突起104的圖案和保護突起104在後續製程中免於受損。在一些實施例中,遮罩層106包括襯墊層和在襯墊層上方的硬遮罩。襯墊層可包括氧化物,例如氧化矽。硬遮罩可包括氮化物,例如氮化矽、氮化鋁或上述的組合。可以從基板102或藉由磊晶沉積形成突起104。例如,根據一些實施例,突起104可具有和基板102相同的材料。然而,在其他的實施例中,突起104 可具有不同於基板102的材料。
在第2A圖和第2B圖中,根據一些實施例,形成絕緣層108在相鄰的突起104之間並覆蓋遮罩層106和突起104。在一些實施例中,絕緣層108包括多層結構,例如具有設置在一或多個內襯上方的隔離特徵。可形成一或多個內襯在突起104的側壁和基板102的第一側102A的上表面上方。在一些實施例中,內襯包括氧化矽、氮化矽、氮氧化矽或上述的組合。隔離特徵可包括氧化矽、氮氧化矽、旋塗介電質材料或低介電常數介電質(例如多孔氧化矽或其他具有介電常數低於3.9的適合的介電質材料)。可藉由流動化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在可控電漿系統中沉積以CVD為基礎的材料並後固化以將材料轉化成其他材料,例如氧化物)、高密度電漿化學氣相沉積(high-density plasma CVD,HDP-CVD)、次大氣壓化學氣相沉積(sub-atmospheric CVD,SACVD)或旋塗形成隔離特徵。
參考第3A圖和第3B圖,根據一些實施例,執行例如化學機械研磨(chemical mechanical polish,CMP)的研磨製程以移除部分的絕緣層108。可使用遮罩層106作為蝕刻停止層來執行研磨製程。在一些實施例中,可省略研磨製程。
在第4A圖和第4B圖中,根據一些實施例,形成埋藏式接觸孔洞110A和埋藏式接觸孔洞110B在絕緣層 108中並鄰近於突起104。在第5A圖和第5B圖中,根據一些實施例,以導電材料填充埋藏式接觸孔洞110A和埋藏式接觸孔洞110B。在第6A圖和第6B圖中,根據一些實施例,執行例如CMP的平坦化製程以移除導電材料的多餘部分來形成埋藏式接觸112A和埋藏式接觸112B。例如,移除在絕緣層108和遮罩層106上方的部分導電材料。
在一些實施例中,埋藏式接觸孔洞110A和埋藏式接觸孔洞110B在俯視圖中具有圓形、方形或圓角方形。在其他的實施例中,埋藏式接觸孔洞110A和埋藏式接觸孔洞110B在俯視圖中具有長軸實質上平行於突起104(例如實質上平行於第12C圖中繪示的X方向)的形狀,例如橢圓形、長方形或圓角長方形。在一些實施例中,埋藏式接觸孔洞110A和埋藏式接觸孔洞110B具有底部低於突起104的底部和基板102的頂表面(第一側102A)。例如,埋藏式接觸孔洞110A和埋藏式接觸孔洞110B可具有深度D低於突起104的底部或基板102的第一側102A約10nm至約50nm。埋藏式接觸孔洞110A和埋藏式接觸孔洞110B可具有直徑介於約10nm至約40nm。在一些實施例中,埋藏式接觸孔洞110A或埋藏式接觸孔洞110B具有長寬比介於約1:10至約1:100。可在相同的微影和蝕刻製程中形成埋藏式接觸孔洞110A和埋藏式接觸孔洞110B。替代地,埋藏式接觸孔洞110A和埋藏式接觸孔洞110B在相同的蝕刻製程但分開的微影製 程中形成。
根據一些實施例,埋藏式接觸112A形成在繞線區域100R中後續形成的閘極電極(例如參考第13A圖的虛擬閘極條132)的下方。埋藏式接觸112A也可形成在半導體裝置100的任何區域中,包括(例如但不限於)單元區域100M、邏輯區域、其他繞線區域或上述的組合。根據一些實施例,埋藏式接觸112B形成在單元區域100M和繞線區域100R中後續形成的電晶體130的源極特徵130S(例如參考第12B圖)的下方。埋藏式接觸112B也可形成在半導體裝置100的任何區域中,包括(例如但不限於)邏輯區域、其他繞線區域或上述的組合。在一些實施例中,如第12C圖所繪示,埋藏式接觸112A和埋藏式接觸112B在Y方向上彼此交錯。
在一些實施例中,埋藏式接觸112A和埋藏式接觸112B的導電材料包括在阻障層上方的導電層。埋藏式接觸112A和埋藏式接觸112B的導電層可包括鎢、鈷、釕、銠、上述的合金或上述的組合。阻障層可以沿著埋藏式接觸孔洞110A和埋藏式接觸孔洞110B形成。埋藏式接觸112A和埋藏式接觸112B的阻障層可包括鈦、氮化鈦、鉭、氮化鉭、氮化鎢、釕、銠、鉑、其他貴金屬、其他耐火金屬(refractory metal)、上述金屬的氮化物、上述的組合或類似者。然而,在其他的實施例中,埋藏式接觸可不包括阻障層。可藉由濺鍍、物理氣相沉積(physical vapor deposition,PVD)、CVD或原子 層沉積(atomic layer deposition,ALD)來沉積導電材料。
參考第7A圖和第7B圖,根據一些實施例,使用蝕刻製程回蝕埋藏式接觸112A和埋藏式接觸112B(例如從頂部蝕刻)。分別在埋藏式接觸112A和埋藏式接觸112B上方形成空腔114A和空腔114B並暴露埋藏式接觸112A和埋藏式接觸112B。蝕刻製程可以是乾式蝕刻製程(例如反應性離子蝕刻或離子束蝕刻)、濕式蝕刻製程或其他適合的蝕刻製程。例如,可使用以氟為基礎的材料蝕刻埋藏式接觸,例如SF6。回蝕製程在埋藏式接觸孔洞110A和埋藏式接觸孔洞110B中留下具有高度H的埋藏式接觸112A和埋藏式接觸112B。在一些實施例中,高度H介於約30nm至約100nm。
參考第8A圖和第8B圖,根據一些實施例,形成保護層120在絕緣層108上方並填充空腔114A和空腔114B。保護層120可包括氧化矽、氮氧化矽、旋塗介電質材料、光阻或低介電常數介電質(例如多孔氧化矽或其他具有介電常數低於3.9的適合的介電質材料)。可藉由CVD、HDP-CVD、SACVD、FCVD或旋塗形成保護層120。在一些實施例中,保護層120包括和絕緣層108的隔離特徵相同的材料。
參考第9A圖和第9B圖,根據一些實施例,執行例如CMP的研磨製程。在一實施例中,使用研磨製程移除遮罩層106、部分的絕緣層108和部分的保護層120。在 一些實施例中,研磨製程也移除突起104的上部。
參考第10A圖和第10B圖,根據一些實施例,藉由蝕刻製程回蝕絕緣層108和保護層120(例如從頂部蝕刻)。可移除部分的絕緣層108和部分的保護層120,並且突起104可從絕緣層108的頂表面上方突出。在一些實施例中,在相同的蝕刻製程中蝕刻絕緣層108和保護層120。在其他的實施例中,在不同的蝕刻製程中蝕刻絕緣層108和保護層120。例如,當圖案化的光阻層覆蓋其他層時可蝕刻絕緣層108和保護層120之中一者,並且在移除圖案化光阻層之後(可添加或不添加其他光阻層的情況下)接著蝕刻其他層。在一些實施例中,保護層120具有頂表面高於或實質上齊平於絕緣層108的頂表面。
在第11A圖和第11B圖中,根據一些實施例,藉由蝕刻製程移除埋藏式接觸112A上方的部分的保護層120。因此,埋藏式接觸112A暴露在外而埋藏式接觸112B還是由保護層120所覆蓋。在一些實施例中,第10A圖中繪示的蝕刻製程也可包括移除埋藏式接觸112A上方的部分的保護層120,並因此省略暴露埋藏式接觸112A的獨立蝕刻製程。
參考第12A圖,在暴露的埋藏式接觸112A上方形成虛擬閘極條132。如第12C圖中所繪示,虛擬閘極條132沿著Y方向延伸並橫跨突起104。儘管第12A圖僅示出虛擬閘極條132部分圍繞突起104,根據一些實施例,當奈米導線的堆疊形成突起104時虛擬閘極條132可完全 環繞突起104。虛擬閘極條132可包括多晶矽。形成虛擬閘極條132的方法可包括沉積覆蓋突起104、暴露的埋藏式接觸112A和絕緣層108的虛擬閘極層,在虛擬閘極層上方形成具有條狀圖案的光阻層,以及根據光阻層的圖案蝕刻虛擬閘極層。在蝕刻多晶矽層的蝕刻製程的期間或之後可移除光阻層。在一些實施例中,在虛擬閘極條132的暴露表面上形成閘極間隔層(圖式中未示出)。可使用任何適合形成閘極間隔層的方法。在一些實施例中,可使用例如ALD、CVD或類似的沉積製程形成閘極間隔層。在一些實施例中,閘極間隔層具有厚度介於約1nm至約5nm。閘極間隔層可包括任何適合的材料。例如,閘極間隔層可包括介電質材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽或上述的組合。
參考第12B圖和第12C圖,根據一些實施例,在突起104上方、虛擬閘極條132的側方形成電晶體130的源極特徵130S和汲極特徵130D。虛擬閘極條132分隔源極特徵130S和汲極特徵130D(因此,在第12B圖繪示的截面圖中只可見源極特徵130S)。在一些實施例中,凹陷突起104(除了在虛擬閘極條132下方的部分突起104)以形成凹槽,且接著在凹槽中藉由磊晶生長製程形成源極特徵130S和汲極特徵130D,包括CVD沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶及/或其他適合的製程。源極特 徵130S和汲極特徵130D可包括Ge、Si、GaAs、砷化鋁鎵(AlGaAs)、SiGe、砷磷化鎵(GaAsP)、GaSb、InSb、砷化銦鎵(InGaAs)、InAs或其他適合的材料。在凹槽中填充源極特徵130S和汲極特徵130D之後,進一步磊晶生長源極特徵130S和汲極特徵130D的頂部層以水平擴張並開始形成晶面(facet),例如菱形晶面。源極特徵130S和汲極特徵130D在磊晶製程期間可原位摻雜。在一些實施例中,源極特徵130S和汲極特徵130D可不是原位摻雜,並執行佈植製程以摻雜源極特徵130S和汲極特徵130D。可執行一或多個退火製程可以激發摻雜劑。退火製程包括快速熱退火(rapid thermal annealing,RTA)及/或雷射退火製程。各個源極特徵130S和汲極特徵130D可具有摻雜濃度介於約1019cm-3至約1021cm-3
在一些實施例中,在源極特徵130S和汲極特徵130D上方共形形成蝕刻停止層(圖式中未示出)。可以使用具有不同於源極特徵130S和汲極特徵130D的薄膜性質的介電質材料形成蝕刻停止層,以在後續製程階段中執行蝕刻製程時改善蝕刻選擇性。在一些實施例中,蝕刻停止層包括氮化矽、碳化矽、氮氧化矽或上述的組合。
在各個虛擬閘極條132之間以及源極特徵130S和汲極特徵130D上方設置絕緣層140。絕緣層140可包括氧化矽、氮氧化矽、旋塗介電質材料或低介電常數介電質(例如多孔氧化矽或其他具有介電常數低於3.9的適合 的介電質材料)。可藉由FCVD、HDP-CVD、SACVD或旋塗形成絕緣層140。絕緣層140可包括單一層或多層。絕緣層140可具有和絕緣層108的隔離特徵相同的材料。在一些實施例中,可藉由研磨使得絕緣層140具有頂表面實質上齊平於虛擬閘極條132的頂表面。在其他的實施例中,絕緣層140覆蓋虛擬閘極條132的頂部。
參考第13A圖和第13B圖,根據一些實施例,在基板102的第一側102A上方透過替換虛擬閘極條132而形成閘極結構150。閘極結構150包括在閘極介電層上方形成的功函數金屬層(或者形成多層的功函數金屬層)。在功函數金屬層上設置金屬閘極電極。然而,閘極結構150配合需求可包括多個其他層,例如介面層、內襯層、阻障層或其他適合的層。閘極結構150的閘極介電層可包括氧化矽。可藉由適合的氧化及/或沉積方法形成氧化矽。替代地,閘極結構150的閘極介電層可包括高介電常數介電層,例如氧化鉿(HfO2)、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、上述的組合或其他適合的材料。可藉由ALD及/或其他適合的方法形成高介電常數介電層。
形成功函數金屬層以調控後續形成在NMOS或PMOS中的金屬閘極結構的功函數。因此,功函數金屬層可以是PMOS裝置的p型功函數金屬材料或NMOS裝置的n型功函數金屬材料。p型功函數金屬材料的適合示例(可具有功函數介於4.8eV和5.2eV之間)包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、 NiSi2、WN、其他適合的p型功函數金屬材料和上述的組合。n型功函數金屬材料的適合示例(可具有功函數介於3.9eV和4.3eV之間)包括Ti、Ag、TaAl、TaAlC、HfAl、TiAl、TiAIN、TaC、TaCN、TaSiN、Mn、Zr、其他適合的n型功函數金屬材料或上述的組合。
功函數值和組成功函數金屬層的材料有關。選擇功函數金屬層的材料以調控功函數值,從而在個別區域形成的裝置中達成期望的閾值電壓(threshold voltage,Vt)。功函數金屬層可提供均一的閾值電壓和汲極電壓。可藉由CVD、PVD、ALD及/或其他適合的製程沉積功函數金屬層。在本文所述的一個示例中,使用ALD製程形成功函數金屬層。
在第13B圖中,在源極特徵130S上方形成源極接觸插塞154。在一些實施例中,各個源極接觸插塞154連接至少兩個或多個鄰近的源極特徵130S至埋藏式接觸112B之中一者,如第13B圖所繪示。在其他的實施例中,各個源極接觸插塞154連接一個源極特徵130S至埋藏式接觸112B之中一者。可藉由適合的微影、蝕刻和沉積製程形成源極接觸插塞154。例如,可在絕緣層140上方沉積光阻層。藉由微影製程圖案化光阻層,使光阻層具有對準埋藏式接觸112B和鄰近埋藏式接觸112B的源極特徵130S的開口。根據光阻層的開口蝕刻在埋藏式接觸112B上方的絕緣層140、蝕刻停止層和保護層120,以形成暴露埋藏式接觸112B和源極特徵130S的開口。使用適合 的沉積製程在開口中填充導電材料。接著執行例如CMP製程的平坦化製程以移除在絕緣層140上方的多餘導電材料。在一些實施例中,沉積光阻層之前,在絕緣層140上方形成一或多個介電層(圖式中未示出),接著和絕緣層140一起蝕刻,且介電層保留於半導體裝置100的最終結構中。
在一些實施例中,源極接觸插塞154包括在阻障層上方的導電層。源極接觸插塞154的阻障層可以是一或多層的鈦、氮化鈦、鉭、氮化鉭、氮化鎢、釕、銠、鉑、其他貴金屬、其他耐火金屬、上述金屬的氮化物、上述的組合或類似者。源極接觸插塞154的導電層可以是金屬材料,例如鎢、鈷、釕、銠、上述的合金、類似者或上述的組合。可使用適合的製程(例如CVD、PVD、ALD、電鍍或類似者)形成源極接觸插塞154的阻障層或導電層。
參考第14A圖、第14B圖和第14C圖,在閘極結構150、絕緣層140和源極接觸插塞154上方沉積介電層156。在一些實施例中,介電層156可包括氧化矽、氮氧化矽、氮化矽、碳氧化矽、聚合物、低介電常數介電質或上述的組合。可藉由FCVD、HDP-CVD、SACVD或旋塗形成介電層156。在一些實施例中,可省略介電層156。
根據一些實施例,接著在電晶體130的汲極特徵130D上方形成汲極接觸插塞158。可藉由適合的微影、蝕刻和沉積製程形成汲極接觸插塞158。例如,可在介電 層156上方毯覆沉積光阻層。藉由微影製程圖案化光阻層,使光阻層具有對準汲極特徵130D的開口。根據光阻層的開口蝕刻介電層156、絕緣層140和蝕刻停止層,以形成暴露汲極特徵130D的開口。藉由適合的沉積製程在開口中填充導電材料。接著執行例如CMP製程的平坦化製程以移除介電層156上方的多餘導電材料。
在一些實施例中,汲極接觸插塞158包括阻障層上方的導電層。汲極接觸插塞158的阻障層可以是一或多層的鈦、氮化鈦、鉭、氮化鉭、氮化鎢、釕、銠、鉑、其他貴金屬、其他耐火金屬、上述金屬的氮化物、上述的組合或類似者。汲極接觸插塞158的導電層可以是金屬材料,例如鎢、鈷、釕、銠、上述的合金、類似者或上述的組合。可使用適合的製程(例如CVD、PVD、ALD、電鍍或類似者)形成汲極接觸插塞158的阻障層或導電層。
如第14C圖中所繪示,汲極接觸插塞158可具有至少兩種不同的形狀。例如,在一個實施例中,如第14C圖中所繪示,一列鄰近成對的汲極接觸插塞158在Y方向上(亦即,沿著列的方向上)具有相對長的長度,且在俯視圖中具有類似長方形或橢圓形的形狀。如第14C圖中所繪示,其他列鄰近成對的汲極接觸插塞158在Y方向上具有相對短的長度,且在俯視圖中具有類似正方形或圓形的形狀。在一個實施例中,各個汲極接觸插塞158連接至一個汲極特徵130D,如第14C圖所繪示。在其他的實施例中,各個汲極接觸插塞158可連接至兩個或多個汲極特徵 130D。在一些實施例中,兩個鄰近的汲極接觸插塞158在X方向上具有距離介於約30nm至約150nm。
在第14A圖、第14B圖和第14C圖所繪示的階段,製造前段部分100F的製程已完成。第15圖至第26圖繪示製造後段部分100B和背側後段部分100BB的中間階段的截面圖和俯視圖。在第15圖和第16圖(對應於第15圖中標示的截面C-C)中,在絕緣層140(或第16圖中未示出的介電層156)上方形成介電層160,且在介電層160中形成導線162。在一些實施例中,部分導線162在至少單元區域100M中物理性和電性連接至個別的汲極接觸插塞158。例如,如第15圖所繪示,可具有複數個鄰近的兩列導線162。兩列鄰近的導線162之中的一者對準且連接至在Y方向上(亦即,沿著列的方向)具有相對長的長度的汲極接觸插塞158,且兩列鄰近的導線162之中另一者對準且連接至在Y方向上具有相對短的長度的汲極接觸插塞158。根據這種配置,兩列鄰近的汲極接觸插塞158可以在Y方向上(亦即,沿著列的方向)部分或完全彼此錯位,但在X方向上(亦即,垂直於列的方向)可重疊。例如,在第15圖中,兩列鄰近的汲極接觸插塞158在Y方上相完全錯位。
可使用適合的技術(例如鑲嵌、雙鑲嵌、電鍍、沉積、類似者或上述的組合)形成導線162。在一些實施例中,可藉由首先沉積介電層160和圖案化介電層160以形成開口(例如,使用適合的微影和蝕刻製程),並且在介電層160 的開口中填充阻障層和導電層來形成導線162。導線162的阻障層可以是一或多層的鈦、氮化鈦、鉭、氮化鉭、氮化鎢、釕、銠、鉑、其他貴金屬、其他耐火金屬、上述金屬的氮化物、上述的組合或類似者。導線162的導電層可以是金屬材料,例如銅、鋁、鈷、上述的合金、類似者或上述的組合。可使用適合的製程(例如CVD、PVD、ALD、電鍍或類似者)形成導線162的阻障層或導電層。
儘管在圖式中未繪示,在一些實施例中,部分導線162也可物理性和電性連接至繞線區域100R和繞線區域100R'中的源極接觸插塞154,以形成提供後段部分100B和背側後段部分100BB之間的電性連接的垂直路徑(例如參考第24圖)。在一些實施例中,當介電層160和源極接觸插塞154之間具有一或多個介電層(例如,第16圖中未示出的介電層156),在製造導線162時圖案化一或多個介電層以暴露繞線區域100R和繞線區域100R'中的源極接觸插塞154。在一些實施例中,藉由分開的鑲嵌製程形成單元區域100M中的導線162以及繞線區域100R和繞線區域100R'中的導線162。例如,可藉由單鑲嵌製程形成單元區域100M中的導線162,且可藉由雙鑲嵌製程形成繞線區域100R和繞線區域100R'中的導線162。
參考第17圖,在介電層168中形成一或多個層級的通孔164和導線166,以形成電性連接至導線162。在一些實施例中,首先在導線162和介電層160上方形成 介電層168。形成介電層168可以使用類似於上述介電層160的材料,且可使用類似的技術形成。在介電層168中可使用適合的微影和蝕刻製程形成開口以暴露導線162。可使用導電材料填充開口以形成通孔164和導線166。可使用平坦化製程以移除多餘的導電材料。在一些實施例中,通孔164和導線166包括類似於上述導線162的材料。
參考第18圖,形成底部電極170以將後續形成的記憶體堆疊185(例如參考第19圖)電性連接至導線166。可在形成於介電層168上方的介電層174中形成底部電極170。形成介電層174可使用類似於上述介電層160的材料,且可使用使用類似形成介電層160的技術沉積介電層174。
在一些實施例中,形成的底部電極170可具有多層材料。底部電極170的材料可包括Cu、Al、Ti、Ta、W、Pt、Ni、Cr、Ru、Co、CoxFeyBzWw、氮化鈦(TiN)、氮化鉭(TaN)、類似者、上述的組合或上述的多層材料。例如,底部電極170可包括氮化鉭層和形成在氮化鉭層上方的氮化鈦層。可用一或多個適合的技術(例如CVD、ALD、PVD、濺鍍、電鍍、類似者或上述的組合)沉積底部電極170的材料。
在一些實施例中,形成底部電極170可藉由首先在介電層168和導線166上方沉積一或多個毯覆層的底部電極170的材料。接著可使用例如適合的微影和蝕刻製程圖案化底部電極170的材料以形成底部電極170。接著可 在底部電極170上方沉積介電層174並執行平坦化製程以暴露底部電極170。
在一些實施例中,形成底部電極170可藉由首先沉積介電層174,圖案化介電層174以形成開口(例如使用適合的微影和蝕刻製程),和使用底部電極170的材料填充介電層174中的開口。在一些實施例中,可執行例如CMP製程或研磨製程的平坦化製程以移除底部電極170的材料的多餘部分。
參考第19圖,根據一些實施例,示出單元區域100M中記憶體堆疊185的形成。記憶體堆疊185可以是SOT-MRAM堆疊185。SOT-MRAM堆疊185包括提供半導體裝置100的單元101的磁性記憶體功能的多層材料。例如,單元區域100M中的各個單元101可包括一個SOT-MRAM堆疊185。在一些實施例中,形成SOT-MRAM堆疊185是藉由沉積多個毯覆層並接著圖案化這些多層材料,從而形成具有預期形狀和配置的SOT-MRAM堆疊185。在一些實施例中,SOT-MRAM堆疊185的多層包括自旋軌道矩(Spin-Orbit Torque,SOT)層180、磁性隧道接面(Magnetic Tunnel Junction,MTJ)堆疊182和頂部電極184。SOT-MRAM堆疊185的自旋軌道矩層180,磁性隧道接面堆疊182及/或頂部電極184可各別包括一或多層的一或多個材料。
根據一些實施例,將SOT-MRAM堆疊185的多 層沉積成毯覆層(參考第26圖)。首先可在介電層174和底部電極170上方沉積自旋軌道矩層180。接著可在自旋軌道矩層180上方沉積磁性隧道接面堆疊182,且接著可在磁性隧道接面堆疊182上方沉積頂部電極184。取決於所沉積的材料,可使用一或多個適合的沉積技術沉積自旋軌道矩層180、磁性隧道接面堆疊182及/或頂部電極184。沉積技術可包括例如CVD、PVD、ALD、濺鍍、電鍍、類似者或上述組合的技術。
參考第26圖,根據一些實施例,示出形成SOT-MRAM堆疊185的自旋軌道矩層180、磁性隧道接面堆疊182和頂部電極184的沉積層。第26圖中所述的SOT-MRAM堆疊185的多層是代表性示例,且具有其他層、材料、配置、成分或尺寸的SOT-MRAM堆疊、SOT層、MTJ堆疊或頂部電極也在本公開的範圍內。
在一些實施例中,首先沉積自旋軌道矩層180並形成和底部電極170的電性接觸。在半導體裝置100的記憶體裝置的單元101中,自旋軌道矩層180作為自旋極化電流(spin polarized current)的產生者。藉由引導電流穿過單元101的自旋軌道矩層180,在橫向的方向上產生自旋極化電流,並且這些自旋極化電流用於控制上方的磁性隧道接面堆疊182的自由層182A的磁矩。藉由在磁性隧道接面堆疊182下方而非在磁性隧道接面堆疊182上方形成自旋軌道矩層180,可減少製程步驟的數量。例如,可避免形成連接至自旋軌道矩層180且鄰近磁性隧道接面 堆疊182的通孔的額外製程步驟。
在一些實施例中,自旋軌道矩層180是重金屬或金屬合金所形成,例如W、Ta、Pt、AuPt、W3Ta、BixSey、BiSeTe、上述的多層、上述的合金、類似者或上述的組合。自旋軌道矩層180的厚度可介於約1nm至約20nm之間,例如約5nm。在一些例子中,可因為自旋軌道矩層180的組成或其他特性而優化自旋軌道矩層180的厚度。例如,使用較厚的自旋軌道矩層180可增加產生的自旋極化電流,但自旋擴散(spin diffusion)也可能減少較厚的自旋軌道矩層180的效率。自旋軌道矩層180中的自旋擴散量可取決於自旋軌道矩層180的材料的自旋擴散長度。如此一來,可針對指定的應用和自旋軌道矩層180使用的材料,選擇自旋軌道矩層180的厚度以最優化單元101的表現。
在一些實施例中,接著在自旋軌道矩層180上方形成磁性隧道接面堆疊182,包括自由層182A、阻障層182B、參考層182C、間隔層182D和合成反鐵磁(Synthetic Anti-Ferromagnetic,SAF)層182E。可在自旋軌道矩層180上方沉積磁性隧道接面堆疊182的自由層182A。在單元101的磁性隧道接面堆疊182之中,自由層182A作為狀態保持(state-keeping)層,且其磁性狀態定義單元101的狀態。例如,自由層182A的磁矩是可控制的(例如藉由控制自旋軌道矩層180中流動的電流),並且藉由如此控制自由層182A的磁矩可將單元101 的電阻設定在高電阻狀態或低電阻狀態。處於高電阻狀態或低電阻狀態的單元101是取決於自由層182A和參考層182C的自旋極化的相對取向(relative orientation)。自由層182A可由一或多個鐵磁性(ferromagnetic)材料所形成,例如一或多層的CoFe、NiFe、CoFeB、CoFeBW、Ru、上述的合金、類似者或上述的組合。自由層182A可包括多層的不同材料,例如兩個CoFeB層之間的Ru層,然而也可使用其他層或材料的配置。在一些實施例中,自由層182A的材料包括沉積而具有特定晶向的晶體材料,例如晶向(100)。自由層182A的厚度可介於約0.4nm至約4nm之間。在一些實施例中,具有平面磁異向性(in-plane magnetic anisotropy,IMA)的自由層182A可具有厚度介於約1.3nm至約4nm之間,或者具有垂直磁異向性(perpendicular-to-plane magnetic anisotropy,PMA)的自由層182A可具有厚度介於約0.4nm至約1.3nm之間。自由層182A的組成或自由層182A的磁性特質可定義自由層182A的適合的厚度。
在自由層182A上方可沉積阻障層182B。在一些實施例中,阻障層182B可以由一或多個材料所形成,例如MgO、AlO、AlN、類似者或上述的組合。在一些實施例中,阻障層182B的材料包括沉積而具有特定晶向的晶體材料,例如晶向(100)。沉積的阻障層182B的材料可具有和自由層182A相同的晶向。在一些實施例中,阻障 層182B可具有厚度介於約0.6nm至約3nm之間,例如約1nm。在一些例子中,控制阻障層182B的厚度可控制磁性隧道接面堆疊182的電阻(RMTJ)。例如,較厚的阻障層182B可增加磁性隧道接面堆疊182的電阻。在一些實施例中,控制磁性隧道接面堆疊182的電阻RMTJ以匹配連接至單元101的電路的寄生電阻,可改善單元101的表現。在一些例子中,匹配的電阻可以增加可讀取單元101的操作條件範圍。阻障層182B可以足夠薄使得電子可穿隧穿過阻障層182B。
在阻障層182B上方可沉積參考層182C。參考層182C可以由鐵磁性材料所形成,例如一或多層的CoFe、NiFe、CoFeB、CoFeBW、上述的合金、類似者或上述的組合。在一些實施例中,參考層182C的材料包括沉積而具有特定晶向的晶體材料,例如晶向(100)。沉積的參考層182C材料可具有和阻障層182B相同的晶向。在一些實施例中,參考層182C的厚度可介於約1nm至約1.3nm之間。在一些例子中,控制參考層182C的厚度可控制磁性隧道接面堆疊182的電阻(RMTJ)。例如,較厚的參考層182C可增加磁性隧道接面堆疊182的電阻。可依此控制參考層182C的厚度,從而將磁性隧道接面堆疊182的電阻RMTJ和相連電路的寄生電阻匹配,如上所述。
在參考層182C上方可沉積間隔層182D。在一些實施例中,間隔層182D可以由例如W、Mo、類似者或上述的組合的材料所形成。在一些實施例中,間隔層182D 可具有厚度介於約2Å至約1nm之間。在一些實施例中,可使用較厚的間隔層182D以減少參考層182C或自由層182A和上方層的晶體晶格不匹配造成的影響。間隔層182D可以足夠薄,使得電子可穿隧穿過間隔層182D。
可在間隔層182D上方形成合成反鐵磁層182E。合成反鐵磁層182E用於將參考層182C的自旋極化方向固定於不變的方向。參考層182C的固定自旋極化方向允許單元101藉由改變自由層182A相對於參考層182C的自旋極化方向而在低電阻狀態和高電阻狀態之間切換。
在一些實施例中,合成反鐵磁層182E可包括多層的不同材料。例如,合成反鐵磁層182E可包括一或多個鐵磁層和一或多個非磁性層的堆疊。例如,合成反鐵磁層182E可以由兩個鐵磁層之間夾著非磁性層或是交替堆疊的非磁性層和鐵磁層所形成。鐵磁層可以由例如Co、Fe、Ni、CoFe、NiFe、CoFeB、CoFeBW、上述的合金、類似者或上述的組合的材料所形成。非磁性層可以由例如Cu、Ru、Ir、Pt、W、Ta、Mg、類似者或上述的組合材料所形成。在一些實施例中,合成反鐵磁層182E的鐵磁層可具有厚度介於約1nm至約3nm之間。在一些實施例中,較厚的合成反鐵磁層182E可具有較強的反鐵磁性質,或是更可以抵抗外界的磁場或熱擾動。在一些實施例中,合成反鐵磁層182E的非磁性層可具有厚度介於約2Å至約1nm之間。例如,合成反鐵磁層182E可包括具有約0.4nm或約0.85nm的厚度的Ru層,然而也可能是 其他層或厚度。在一些實施例中,合成反鐵磁層182E的一或多層包括沉積的晶體材料而具有特定的晶向,例如晶向(111)。
頂部電極184可包括沉積在磁性隧道接面堆疊182上方的一或多個層沉積,用以保護磁性隧道接面堆疊182和提供電性連接至磁性隧道接面堆疊182的頂部。例如,頂部電極184可包括一或多個層沉積在磁性隧道接面堆疊182的合成反鐵磁層182E上方,如第26圖中所示。頂部電極184可包括一或多層的材料,例如Cu、Al、Ti、Ta、W、Pt、Ni、Cr、Ru、Co、Zr、氮化鈦(TiN)、氮化鉭(TaN)、類似者、上述的組合或上述的多層。例如,頂部電極184可包括釕層184A和形成在釕層184A上方的鉭層184B。在一些實施例中,頂部電極184可具有厚度介於約1nm和約5nm之間,例如約4nm。例如,頂部電極184可包括具有約2nm的厚度的Ru層和具有約2nm的厚度的Ta層形成於Ru層上方。在其他的實施例中,頂部電極184可包括和示例不同層及/或不同厚度的層。在一些例子中,頂部電極184可視為覆蓋層,或者頂部電極184中的一或多層可視為覆蓋層。
在一些實施例中,沉積自旋軌道矩層180、磁性隧道接面堆疊182和頂部電極184之後,執行第一圖案化製程以將自旋軌道矩層180、磁性隧道接面堆疊182和頂部電極184圖案化成第一形狀。第一圖案化製程可包括適合的微影和蝕刻製程。在一些實施例中,藉由第一圖案化 製程蝕刻進自旋軌道矩層180的圖案定義各個單元101的自旋軌道矩層180形狀、尺寸或橫向尺寸。例如,各個單元101可包括具有長度介於約50nm至約500nm之間和寬度介於約10nm至約100nm之間的自旋軌道矩層180。在一些實施例中,自旋軌道矩層180可具有面積介於約500nm2至約50000nm2之間。
隨後,執行第二圖案化製程以圖案化磁性隧道接面堆疊182和頂部電極184,從而形成SOT-MRAM堆疊185。第二圖案化製程可包括適合的微影和蝕刻製程。在一些實施例中,自旋軌道矩層180作為蝕刻停止層。如此一來,第二圖案化製程重塑自旋軌道矩層180上方的磁性隧道接面堆疊182和頂部電極184而使之具有預期的形狀和尺寸。如第19圖所示,第二圖案化製程蝕刻磁性隧道接面堆疊182和頂部電極184,使得磁性隧道接面堆疊182和頂部電極184具有小於自旋軌道矩層180的橫向面積。磁性隧道接面堆疊182和頂部電極184的結果形狀可具有大致上圓形的形狀,或可具有長形形狀(例如橢圓形、圓角長方形或類似者)。
參考第20圖,形成SOT-MRAM堆疊185之後,在繞線區域100R及/或繞線區域100R'中的介電層186和介電層168之中形成通孔188和導線190,以形成電性連接至導線166和繞線區域100R及/或繞線區域100R'中的垂直路徑。在一些實施例中,藉由雙鑲嵌製程形成通孔188和導線190。導線190和通孔188可以由類似於 上述的導線162的材料所形成。
在第21圖中,根據一些實施例,在介電層196中形成通孔192和導線194,以形成電性連接至單元區域100M中的頂部電極184和形成電性連接至繞線區域100R及/或繞線區域100R'中的導線190。在一些實施例中,介電層196可具有一或多層。介電層196可以由類似於上述介電層160的材料和使用類似的技術所形成。通孔192和導線194可以由類似於上述通孔164和導線166的材料以及使用類似的技術所形成。在一些實施例中,單元區域100M中部分的導線194作為半導體裝置100的記憶體裝置的各個單元101的讀取位元線(Read Bit Line,RBL)。
根據一些實施例,在導線194和介電層196上方可形成一或多個組合的額外的通孔和導線(圖式中未示出)。可使用類似於通孔164和導線166的方法形成額外的通孔和導線的組合。在一些實施例中,也可在額外的通孔和導線的組合上方形成連接墊和突起(圖式中未示出)以完成後段部分100B。
參考第22圖,在基板102中形成基板穿透通孔198,並且基板穿透通孔198物理性和電性連接至埋藏式接觸112B。在一些實施例中,基板穿透通孔198物理性和電性連接至閘極結構150下方的埋藏式接觸112A(例如參考第25圖)。可藉由鑽孔製程(例如雷射鑽孔製程或機械鑽孔製程)從基板102的第二側102B形成基板穿透通 孔198(有時稱為矽穿透通孔或基板通孔),鑽孔製程形成暴露埋藏式接觸112A和埋藏式接觸112B的穿透孔洞。接著在穿透孔洞中填充導電材料。藉由例如CMP或研磨製程的平坦化製程移除基板102的第二側102B上方的多餘導電材料。由於埋藏式接觸112A和埋藏式接觸112B的底部低於突起104的底部和基板102的第一側102A,因此增加形成基板穿透通孔198的容許度,從而在形成基板穿透通孔198的期間可避免形成在基板102的第一側102A上方的特徵受損。在一些實施例中,基板穿透通孔198可以由類似於上述通孔164的材料所形成。在一些實施例中,部分的埋藏式接觸112A和埋藏式接觸112B突出而在基板穿透通孔198中。
參考第23圖,形成一或多個層級的背側導線。例如,如第23圖所繪示,形成兩個層級的導線202和導線216。導線202形成在介電層200中。通孔214和導線216形成在介電層210中。在一些實施例中,至少部分的導線202及/或至少部分的導線216透過基板穿透通孔198電性連接至埋藏式接觸112B。根據一些實施例,藉由形成電性連接至埋藏式接觸112B和電晶體130的源極特徵130S,至少部分的導線202及/或至少部分的導線216可作為配置成操作SOT-MRAM堆疊185的源極導線。參考第25圖,至少部分的導線202及/或至少部分的導線216透過基板穿透通孔198電性連接至閘極結構150下方的埋藏式接觸112A。根據一些實施例,藉由形成電 性連接至埋藏式接觸112A和電晶體130的閘極結構150,至少部分的導線202及/或至少部分的導線216可作為配置成操作SOT-MRAM堆疊185的字元線。在一些實施例中,至少部分的背側導線202作為配置成操作SOT-MRAM堆疊185的源極導線,且至少部分的背側導線216作為配置成操作SOT-MRAM堆疊185的字元線,或是反之亦然。儘管第23圖僅示出(部分的)單元區域100M和繞線區域100R',本領域技術人員可理解背側導線202和導線216並不限於設置在上述區域中。例如,背側後段部分100BB可佔據類似於前段部分100F和後段部分100B的區域。在一些實施例中,可在其他區域中或橫跨其他區域設置背側導線202和導線216,例如邏輯區域或其他繞線區域(例如繞線區域100R)。
在一些實施例中,源極特徵和汲極特徵的配置不限於單元區域100M中所繪示的源極/汲極特徵配置。根據一些實施例,埋藏式接觸112B不限於連接至電晶體130的源極特徵130S。例如,可形成埋藏式接觸112B鄰近於半導體裝置100的繞線區域100R、繞線區域100R'或其他區域中的源極特徵、汲極特徵或上述的組合。換而言之,在替代的實施例中,埋藏式接觸112B可用於形成電性連接至汲極特徵130D,且源極接觸插塞154可用於形成電性連接至汲極特徵130D。在又其他的實施例中,埋藏式接觸可用於連接至源極特徵130S和汲極特徵130D兩者,且接觸插塞可用於電性連接至其他源極特徵130S和汲極 特徵130D。
參考第24圖,在介電層210和導線216上方形成鈍化層220以保護背側導線免於受到濕氣損傷。鈍化層220可包括氮化矽、氮氧化矽、氧化鋁、氮化鋁或上述的組合。可藉由CVD、PVD或旋塗形成鈍化層220。
根據本公開的其他實施例,第27圖至第29圖繪示半導體裝置300的製造中間階段的截面圖和俯視圖。在第27圖和第28圖中(第27圖對應於第28圖中所標示的截面C-C)繪示半導體裝置300。半導體裝置300和半導體裝置100幾乎相同,除了僅有部分的源極導線設置在背側後段部分100BB中,且部分的導線162(標示為導線162SL)作為配置成操作SOT-MRAM堆疊185的源極導線。在半導體裝置300中,配置成操作SOT-MRAM堆疊185的字元線可設置在後段部分100B、背側後段部分100BB或上述的組合中。例如,參考第29圖(對應於第28圖中所標示的截面D-D),部分的閘極結構150(標示為閘極結構150WL)作為配置成操作SOT-MRAM堆疊185並且透過通孔250電性連接至導線162的部分字元線,以及配置成操作SOT-MRAM堆疊185的字元線透過埋藏式接觸112A和基板穿透通孔198延伸至背側後段部分100BB中的導線202及/或導線216。在第30圖中,繪示半導體裝置400。半導體裝置400和半導體裝置100或半導體裝置300幾乎相同,除了SOT-MRAM堆疊385設置在背側後段部分100BB中。SOT-MRAM堆疊385 可透過導線202、基板穿透通孔198、埋藏式接觸112B和源極接觸插塞154電性連接至電晶體130的源極特徵130S。SOT-MRAM堆疊385可透過導線202、基板穿透通孔198和埋藏式接觸112A電性連接至電晶體130的閘極結構150。在一些實施例中,SOT-MRAM堆疊385透過繞線區域100R'中的垂直路徑和導線162電性連接至電晶體130的汲極特徵130D。替代地,SOT-MRAM堆疊385可透過鄰近於汲極特徵的埋藏式接觸而電性連接至電晶體130的汲極特徵130D,並且以類似於源極區域的方式形成電性連接至汲極區域。
本公開的實施例具有一些優勢特徵。例如,本公開的半導體裝置100、半導體裝置300或半導體裝置400提供形成在基板的兩側上方的導線(亦即,BEOL的互連結構),從而提供更彈性的路徑設計。在一些例子中,當汲極接觸插塞的間距太小時,裝置設計者或製造者可能面臨設置用於電性連接下方汲極接觸插塞的導線的困難,因為在BEOL的第一層級中,作為記憶體裝置的源極導線的導線佔據了大部分的空間。根據本公開的一些實施例,移動至少部分的源極導線可製造設置用於電性連接下方汲極插塞的導線的空間。例如,鄰近的導線列(例如導線162)在列的方向上可部分或完全錯位。替代地,鄰近導線列(例如導線162)在垂直於列的方向上可部分重疊。另外,根據本公開一些本公開實施例,背側BEOL提供額外的空間以組合更多的嵌入式裝置。
在本公開的一實施例中,一種半導體裝置包括具有第一側和第二側的基板以及記憶體裝置,記憶體裝置包括設置在基板的第一側上方的電晶體,其中電晶體包括閘極以及由閘極介入之間的源極特徵和汲極特徵。記憶體裝置包括設置在電晶體上方的第一導線、設置在第一導線上方的記憶體堆疊,以及設置在基板的第二側上方的第二導線,其中第二導線之中至少一者是記憶體裝置的源極導線或字元線。
在本公開的一實施例中,一種半導體裝置包括具有第一側和第二側的基板。半導體裝置包括第一電晶體,其中第一電晶體包括第一突起上方的第一閘極,以及插入第一突起的第一源極區域和第一汲極區域。半導體裝置包括設置鄰近於第一突起且具有至少一部分延伸進基板的第一埋藏式接觸,其中第一埋藏式接觸電性連接至第一源極區域或第一閘極。半導體裝置包括設置在第一汲極區域上方的第一接觸插塞、設置在第一接觸插塞上方且藉由第一接觸插塞電性連接至第一汲極區域的第一導線、穿過基板且連接至第一埋藏式接觸的第一通孔,以及設置在機板的第二側上方且電性連接至第一通孔的第二導線。
在一些實施例中,半導體裝置進一步包括記憶體裝置,其中記憶體裝置包括設置在第一導線上方的記憶體堆疊。在一些實施例中,第二導線之中至少一者是記憶體裝置的源極導線。在一些實施例中,第二導線之中至少一者是記憶體裝置的字元線。在一些實施例中,半導體裝置具 有單元區域和繞線區域,且第一電晶體和記憶體堆疊設置在單元區域中。在一些實施例中,半導體裝置進一步包括設置在繞線區域中的第二電晶體,其中第二電晶體包括在第二突起上方的第二閘極,以及插入第二突起的第二源極特徵和第二汲極特徵,其中第二電晶體透過至少第二導線電性連接至第一電晶體的第一源極特徵或第一閘極。在一些實施例中,半導體裝置進一步包括設置在第二源極特徵或第二汲極特徵上方的第三接觸插塞,且第三接觸插塞將第二源極特徵或第二汲極特徵電性連接至第一導線。在一些實施例中,半導體裝置進一步包括設置鄰近於第二突起的第二埋藏式接觸,其中第二埋藏式接觸具有部分延伸進基板中且電性連接至第二閘極或第二源極特徵和第二汲極特徵之中一者。在一些實施例中,當第二埋藏式接觸電性連接至第二源極特徵和第二汲極特徵之中一者時,半導體裝置進一步包括設置在第二源極特徵或第二汲極特徵上方的第四接觸插塞,以及穿過基板且連接至第二埋藏式接觸的第二通孔,其中第四接觸插塞將第二源極特徵和第二汲極特徵之中一者電性連接至第二埋藏式接觸,第二通孔電性連接至第二導線。在一些實施例中,第一導線具有鄰近兩列,其中鄰近兩列在沿著列的方向上彼此部分或完全錯位。在一些實施例中,第一導線具有鄰近兩列,其中鄰近兩列在垂直於列的方向上部分重疊。在一些實施例中,半導體裝置進一步包括設置在基板的第二側上方的記憶體堆疊,其中記憶體堆疊透過至少第一埋藏式接觸和第一通孔 電性連接至第一電晶體。
在本公開的一實施例中,一種半導體裝置包括具有第一側和第二側的基板以及記憶體裝置,記憶體裝置包括設置在基板的第一側上方的電晶體,其中電晶體包括閘極和由閘極介入之間的源極特徵和汲極特徵。記憶體裝置包括設置在電晶體上方的第一導線、設置在第一導線上方的記憶體堆疊設置,以及設置在基板的第二側上方的第二導線,其中第二導線中的至少一者是記憶體裝置的源極導線或字元線。
在一些實施例中,記憶體堆疊包括磁性隧道接面層和自旋軌道矩層。在一些實施例中,電晶體包括鰭式場效應電晶體或閘極全環繞場效應電晶體。在一些實施例中,閘極是記憶體裝置的字元線。在一些實施例中,第一導線之中至少一者是記憶體裝置的源極導線。
在本公開的一實施例中,一種形成半導體裝置的方法包括形成突起在基板的一側上、形成鄰近於突起的埋藏式接觸,其中埋藏式接觸具有部分延伸進基板。方法包括形成圍繞突起的閘極、形成插入突起的磊晶特徵,其中閘極或磊晶特徵電性連接至埋藏式接觸。方法包括形成閘極和磊晶特徵上方的第一導線、形成穿過基板且連接至埋藏式接觸的通孔,以及形成基板的另一側上方的第二導線,其中第二導線電性連接至通孔。
在一些實施例中,方法進一步包括形成在第一導線上方的記憶體堆疊。在一些實施例中,第二導線之中至少 一者是配置成操作記憶體堆疊的源極導線或字元線。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
100:半導體裝置
100B:後段部分
100BB:背側後段部分
100F:前段部分
100M:單元區域
100R':繞線區域
102B:第二側
104:突起
112B:埋藏式接觸
130:電晶體
130D:汲極特徵
130S:源極特徵
130D/S:汲極/源極特徵
140:絕緣層
150:閘極結構
154:源極接觸插塞
158:汲極接觸插塞
160:介電層
162:導線
164:通孔
166:導線
168:介電層
170:底部電極
174:介電層
180:自旋軌道矩層
182:磁性隧道接面堆疊
184:頂部電極
185:記憶體堆疊/SOT-MRAM堆疊
186:介電層
188:通孔
190:導線
192:通孔
194:導線
196:介電層
198:基板穿透通孔
200:介電層
202:導線
210:介電層
214:通孔
216:導線
220:鈍化層

Claims (10)

  1. 一種半導體裝置,包括:一基板,具有一第一側和一第二側;一第一電晶體設置在該第一側上,其中該第一電晶體包括在一第一突起上方的一第一閘極,以及在該第一閘極的相對側上的一第一源極特徵和一第一汲極特徵;一第一埋藏式接觸設置鄰近於該第一突起且具有至少部分延伸進該基板中,其中該第一埋藏式接觸電性連接至該第一源極特徵或該第一閘極;一第一接觸插塞設置在該第一汲極特徵上方;多個第一導線設置在該第一接觸插塞上方且透過該第一接觸插塞電性連接至該第一汲極特徵;一第一通孔穿過該基板且連接至該第一埋藏式接觸;以及多個第二導線設置在該基板的該第二側上方且電性連接至該第一通孔。
  2. 如請求項1所述之半導體裝置,進一步包括一記憶體裝置,該記憶體裝置包括設置在該些第一導線上方的一記憶體堆疊。
  3. 如請求項2所述之半導體裝置,其中該些第二導線之中至少一者是該記憶體裝置的源極導線。
  4. 如請求項2所述之半導體裝置,其中該些第二導線之中至少一者是該記憶體裝置的字元線。
  5. 如請求項2所述之半導體裝置,其中該半導體裝置具有一單元區域和一繞線區域,且該第一電晶體和該記憶體堆疊設置在該單元區域中。
  6. 如請求項5所述之半導體裝置,進一步包括:一第二電晶體設置在該繞線區域中,其中該第二電晶體包括在一第二突起上方的一第二閘極,以及插入該第二突起的一第二源極特徵和一第二汲極特徵,其中該第二電晶體透過至少該些第二導線電性連接至該第一電晶體的該第一源極特徵或該第一閘極。
  7. 如請求項1所述之半導體裝置,其中該些第一導線具有鄰近兩列,其中該鄰近兩列在沿著該些列的方向上彼此部分或完全錯位。
  8. 如請求項1所述之半導體裝置,其中該些第一導線具有鄰近兩列,其中該鄰近兩列在垂直於該些列的方向上部分重疊。
  9. 一種半導體裝置,包括:一基板,具有一第一側和一第二側;以及 一記憶體裝置,包括:一電晶體設置在該基板的該第一側上方,其中該電晶體包括一閘極以及由該閘極介入之間的一源極特徵和一汲極特徵;多個第一導線設置在該電晶體上方;一記憶體堆疊設置在該些第一導線上方;以及多個第二導線設置在該基板的該第二側上方,其中該些第二導線之中至少一者是該記憶體裝置的源極導線或字元線。
  10. 一種形成半導體裝置的方法,包括:形成一突起在一基板的一側上方;形成鄰近於該突起的一埋藏式接觸,其中該埋藏式接觸具有部分延伸進該基板中;形成圍繞該突起的一閘極;形成在該突起的區域中的一磊晶特徵,其中該閘極或該磊晶特徵電性連接至該埋藏式接觸;形成在該閘極和該磊晶特徵上方的多個第一導線;形成穿過該基板且連接至該埋藏式接觸的一通孔;以及形成在該基板的另一側上方的多個第二導線,其中該些第二導線電性連接至該通孔。
TW110123024A 2020-06-29 2021-06-23 半導體裝置和其形成方法 TWI778681B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063045285P 2020-06-29 2020-06-29
US63/045,285 2020-06-29
US17/216,162 2021-03-29
US17/216,162 US11723218B2 (en) 2020-06-29 2021-03-29 Semiconductor device and method for forming the same

Publications (2)

Publication Number Publication Date
TW202201824A TW202201824A (zh) 2022-01-01
TWI778681B true TWI778681B (zh) 2022-09-21

Family

ID=78126168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123024A TWI778681B (zh) 2020-06-29 2021-06-23 半導體裝置和其形成方法

Country Status (5)

Country Link
US (2) US11723218B2 (zh)
KR (1) KR102575959B1 (zh)
CN (1) CN113540148B (zh)
DE (1) DE102021108348A1 (zh)
TW (1) TWI778681B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
EP4350762A1 (en) * 2022-10-06 2024-04-10 Samsung Electronics Co., Ltd. Integrated circuit including backside wiring and method of designing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100238707A1 (en) * 2009-03-18 2010-09-23 Kabushiki Kaisha Toshiba Resistance change memory device
US20150061054A1 (en) * 2013-08-30 2015-03-05 Yong-Kwan Kim Magnetic memory device
US20150102395A1 (en) * 2013-10-11 2015-04-16 Jae-hwa Park Semiconductor device including decoupling capacitor and method of forming the same
US20180358555A1 (en) * 2017-06-13 2018-12-13 Samsung Electronics Co., Ltd. Semiconductor device
US20190081102A1 (en) * 2017-09-12 2019-03-14 Samsung Electronics Co., Ltd. Semiconductor memory devices

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7718503B2 (en) * 2006-07-21 2010-05-18 Globalfoundries Inc. SOI device and method for its fabrication
US7816231B2 (en) 2006-08-29 2010-10-19 International Business Machines Corporation Device structures including backside contacts, and methods for forming same
US7666723B2 (en) * 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
US7488630B2 (en) * 2007-03-06 2009-02-10 International Business Machines Corporation Method for preparing 2-dimensional semiconductor devices for integration in a third dimension
DE112013005677T5 (de) 2012-11-28 2015-09-17 Ps4 Luxco S.A.R.L. Halbleitervorrichtung und Verfahren zu ihrer Herstellung
CN104241279B (zh) * 2013-06-18 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种集成电路及其制造方法
US9734881B2 (en) * 2015-02-02 2017-08-15 Globalfoundries Singapore Pte. Ltd. High sensing margin magnetic resistive memory device in which a memory cell read and write select transistors to provide different read and write paths
US9542987B2 (en) * 2015-02-02 2017-01-10 Globalfoundries Singapore Pte. Ltd. Magnetic memory cells with low switching current density
US9570138B2 (en) * 2015-02-03 2017-02-14 Globalfoundries Singapore Pte. Ltd. Magnetic memory cells with fast read/write speed
US9583167B2 (en) * 2015-04-29 2017-02-28 Globalfoundries Singapore Pte. Ltd. Low power memory cell with high sensing margin
JP5985728B1 (ja) * 2015-09-15 2016-09-06 株式会社東芝 磁気メモリ
US9660027B2 (en) * 2015-10-20 2017-05-23 Globalfoundries Inc. Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
WO2017160311A1 (en) * 2016-03-18 2017-09-21 Intel Corporation Damascene-based approaches for embedding spin hall mtj devices into a logic processor and the resulting structures
US10734412B2 (en) 2016-07-01 2020-08-04 Intel Corporation Backside contact resistance reduction for semiconductor devices with metallization on both sides
KR20180062812A (ko) * 2016-12-01 2018-06-11 삼성전자주식회사 이종의 메모리 소자들을 포함하는 집적회로 소자 및 그 제조 방법
US10446606B2 (en) * 2017-07-19 2019-10-15 International Business Machines Corporation Back-side memory element with local memory select transistor
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US20210074728A1 (en) * 2017-09-11 2021-03-11 Sony Semiconductor Solutions Corporation Semiconductor integrated circuit
US11018134B2 (en) * 2017-09-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
KR102493464B1 (ko) * 2018-07-19 2023-01-30 삼성전자 주식회사 집적회로 장치 및 이의 제조 방법
US11296147B2 (en) * 2019-05-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing memory device having spacer
US11018260B2 (en) * 2019-09-17 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Non-volatile memory device with reduced area
US11430832B2 (en) * 2019-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor MRAM device and method
US11805705B2 (en) * 2020-05-12 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Strained ferromagnetic hall metal SOT layer
US11502128B2 (en) * 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US11903221B2 (en) * 2020-08-17 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional semiconductor device with memory stack
US11588050B2 (en) * 2020-08-31 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Backside contact
CN114284267A (zh) * 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11706999B2 (en) * 2021-01-13 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
US11652140B2 (en) * 2021-02-25 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11538858B2 (en) * 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US11849655B2 (en) * 2021-04-14 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory devices with electrically isolated stacked bit lines and methods of manufacture
US11716859B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, semiconductor device, and method of fabricating semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100238707A1 (en) * 2009-03-18 2010-09-23 Kabushiki Kaisha Toshiba Resistance change memory device
US20150061054A1 (en) * 2013-08-30 2015-03-05 Yong-Kwan Kim Magnetic memory device
US20150102395A1 (en) * 2013-10-11 2015-04-16 Jae-hwa Park Semiconductor device including decoupling capacitor and method of forming the same
US20180358555A1 (en) * 2017-06-13 2018-12-13 Samsung Electronics Co., Ltd. Semiconductor device
US20190081102A1 (en) * 2017-09-12 2019-03-14 Samsung Electronics Co., Ltd. Semiconductor memory devices

Also Published As

Publication number Publication date
TW202201824A (zh) 2022-01-01
US20230345738A1 (en) 2023-10-26
CN113540148B (zh) 2024-02-09
DE102021108348A1 (de) 2021-12-30
US20210408115A1 (en) 2021-12-30
CN113540148A (zh) 2021-10-22
KR20220001446A (ko) 2022-01-05
KR102575959B1 (ko) 2023-09-06
US11723218B2 (en) 2023-08-08

Similar Documents

Publication Publication Date Title
US10840297B2 (en) Storage layer for magnetic memory with high thermal stability
CN110875352B (zh) 集成电路、mram单元和用于制造存储器件的方法
KR102243752B1 (ko) 자기 랜덤 액세스 메모리 및 그 제조 방법
CN112750856B (zh) 半导体器件及其形成方法
US20160276580A1 (en) Bottom electrode for magnetic memory to increase tmr and thermal budget
CN109216371A (zh) 制造半导体器件的方法以及半导体器件
US20230345738A1 (en) Semiconductor device and method for forming the same
KR102345542B1 (ko) 가변 저항 메모리 소자를 포함하는 반도체 소자
US20230329005A1 (en) Memory device, semiconductor device, and method of fabricating semiconductor device
US20230397438A1 (en) Magnetic memory devices
TW202145561A (zh) 電晶體
KR102541228B1 (ko) 반도체 디바이스 및 그를 형성하기 위한 방법
CN115280528A (zh) 倒置的宽基底双磁性隧道结器件
KR20210098579A (ko) 자기 기억 소자
TWI817845B (zh) 記憶體裝置及其形成方法
US20230067715A1 (en) Integrated circuit device and method for fabricating the same
TWI843098B (zh) 積體電路結構及其製造方法
KR102672584B1 (ko) 반전된 넓은 베이스 이중 자기 터널 접합 디바이스
US20230225098A1 (en) Epitaxial features in semiconductor devices and method of forming the same
US20230371278A1 (en) Memory device including a semiconducting metal oxide fin transistor and methods of forming the same
US20230238324A1 (en) Memory devices and methods of manufacturing thereof
TW202339252A (zh) 半導體裝置
TW202320324A (zh) 積體電路結構及其製造方法
CN115132774A (zh) 半导体结构

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent