TWI668758B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI668758B
TWI668758B TW106139890A TW106139890A TWI668758B TW I668758 B TWI668758 B TW I668758B TW 106139890 A TW106139890 A TW 106139890A TW 106139890 A TW106139890 A TW 106139890A TW I668758 B TWI668758 B TW I668758B
Authority
TW
Taiwan
Prior art keywords
metal
gate
etching
layer
region
Prior art date
Application number
TW106139890A
Other languages
English (en)
Other versions
TW201911404A (zh
Inventor
黃銘淇
莊英良
葉明熙
黃國彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201911404A publication Critical patent/TW201911404A/zh
Application granted granted Critical
Publication of TWI668758B publication Critical patent/TWI668758B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供金屬閘極結構及相關的方法,其包含實施金屬閘極切割製程,金屬閘極切割製程包含複數個蝕刻步驟,舉例來說,實施第一非等向性乾式蝕刻、實施第二等向性乾式蝕刻以及實施第三濕式蝕刻。在一些實施例中,第二等向性蝕刻移除包含含金屬層之金屬閘極層的剩餘部分。在一些實施例中,第三蝕刻移除介電層的剩餘部分。

Description

半導體裝置及其製造方法
本發明實施例是關於半導體裝置製造技術,特別是有關於金屬閘極結構及其製造方法。
電子產業對更小、更快的電子裝置的需求不斷增長,這些裝置同時能夠支援越來越複雜且精密的功能。因此,在半導體工業中製造低成本、高性能和低功率的積體電路(integrated circuits,ICs)是持續的趨勢。目前為止,透過縮小半導體積體電路尺寸(例如最小部件(feature)尺寸),這些目標大多已經實現,並且藉此提高生產效率以及降低相關成本。然而,這樣的尺寸縮減也增加了半導體生產製程的複雜性。因此,實現半導體積體電路和裝置的持續發展需要半導體生產製程和技術的相似進步。
為了提升閘極控制,現已導入多閘極(multi-gate)裝置,以增加閘極通道耦合、減小關閉狀態(OFF-state)電流以及減少短通道效應(short-channel effects,SCE)。鰭式場效電晶體(fin field-effect transistor,FinFET)為目前已導入的多閘極裝置的一種。鰭式場效電晶體的名稱來自於鰭狀結構,鰭狀結構從其形成的基底上延伸出來,並且用於形成場效電 晶體(FET)通道。鰭式場效電晶體與傳統的互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)的製程相容,且鰭式場效電晶體的三維結構使其可以在保持閘極控制和減輕短通道效應(SCE)的同時大幅縮減尺寸。此外,金屬閘極電極已用來取代多晶矽閘極電極。相較於多晶矽閘極電極,金屬閘極電極提供許多優點,例如避免多晶矽耗盡效應、藉由選擇適當的閘極金屬來調整功函數以及其他益處。舉例而言,金屬閘極電極的製造過程可以包含金屬層沉積,接著是隨後的金屬層切割製程。在一些情況下,金屬閘極線切割(line cut)製程可能導致一部分的層間電介質(inter-layer dielectric,ILD)損失、不想要的金屬層的殘留物及/或包含可能導致元件可靠性降低的其他問題。
因此,現有的技術尚未在各方面皆令人滿意。
根據本揭露的一些實施例,提供半導體裝置的製造方法。此方法包含在基底上形成第一鰭片和第二鰭片,第一鰭片具有第一閘極區且第二鰭片具有第二閘極區;在第一和第二閘極區上方形成金屬閘極線,其中金屬閘極線從第一鰭片延伸至第二鰭片;以及實施線切割製程以將金屬閘極線分成第一金屬閘極線和第二金屬閘極線,其中線切割製程包含:實施第一蝕刻;在第一蝕刻之後,實施第二蝕刻;以及在第二蝕刻之後,實施第三蝕刻。
根據本揭露的一些實施例,提供半導體裝置的製造方法。此方法包含在基底上方的溝槽中形成金屬閘極結 構,其中金屬閘極結構的形成包含:形成閘極介電層;在閘極介電層上方形成第一金屬層;以及在第一金屬層上方形成第二金屬層;以及在金屬閘極結構上實施切割閘極製程,以形成金屬閘極結構的第一部分和金屬閘極結構的第二部分,第一和第二部分之間具有切割區,其中切割閘極製程的實施包含:實施第一蝕刻製程以移除第二金屬層的第一區、第一金屬層的第一區和閘極介電層的第一區;實施第二蝕刻製程以移除第一金屬層的第二區;以及實施第三蝕刻製程以移除閘極介電層的第二區。
根據本揭露的一些實施例,提供半導體裝置。此半導體裝置包含第一鰭片和第二鰭片,從基底延伸,第一鰭片具有第一閘極區且第二鰭片具有第二閘極區以及淺溝槽隔離(STI)結構介於第一和第二鰭片之間;金屬閘極結構的第一部分設置於第一閘極區上方且金屬閘極結構的第二部分設置於第二閘極區上方,其中第一和第二部分係藉由切割閘極區隔開;以及介電層,設置於切割閘極區中;其中金屬閘極結構的第一部分具有第一面抵接切割閘極區,其中第一面具有鄰近淺溝槽隔離結構的第一寬度和在第一寬度上方的第二寬度,第二寬度小於第一寬度。
100‧‧‧鰭式場效電晶體裝置
102‧‧‧基底
104、204‧‧‧鰭片
105‧‧‧源極區
106‧‧‧隔離區
107‧‧‧汲極區
108‧‧‧閘極結構
108A、108B、208A、208B‧‧‧區塊
110、806‧‧‧閘極介電層
112‧‧‧金屬層
208、804、804’‧‧‧金屬閘極結構
210‧‧‧金屬閘極切割圖案
212‧‧‧部分
320‧‧‧層間介電層
322、1302‧‧‧介電層
500‧‧‧方法
502、504、506、508、510、512、512A、512B、512C、514‧‧‧方框
600‧‧‧結構
602‧‧‧虛設閘極結構
702‧‧‧溝槽
802‧‧‧硬遮罩層
808‧‧‧第一金屬層
810‧‧‧第二金屬層
812‧‧‧第三金屬層
814‧‧‧第四金屬層
816‧‧‧第五金屬層
902‧‧‧開口
1002‧‧‧切割區
1004、1004’、1102、1102’‧‧‧剩餘部分
A、B‧‧‧角度
H‧‧‧高度
W1、W2、W3‧‧‧寬度
X-X’、Y-Y’‧‧‧區段
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖是根據本揭露一或多個面向的鰭式場效電晶體裝置之實施例的剖面示意圖。
第2圖是根據一些實施例之相鄰的鰭片、金屬閘極結構和金屬閘極切割圖案的上視示意圖。
第3圖是根據本揭露的一些實施例,繪示已經在鰭式場效電晶體裝置中切割金屬閘極線的剖面示意圖。
第4圖是根據本揭露的一些實施例,繪示已經在鰭式場效電晶體裝置中切割金屬閘極線之相應的剖面示意圖。
第5圖是根據本揭露的一或多個面向之半導體製造方法的流程圖。
第6A、7A、8A、9A、10A、11A、12A和13A繪示鰭式場效電晶體結構沿著一平面的剖面示意圖,此平面大抵上平行於藉由第1圖的截面X-X’定義的平面,並且根據第5圖的方法之一實施例製造此鰭式場效電晶體結構。
第6B、7B、8B、9B、10B、11B、12B和13B繪示鰭式場效電晶體結構沿著一平面的剖面示意圖,此平面大抵上平行於藉由第1圖的截面Y-Y’定義的平面,並且根據第5圖的方法之一實施例製造此鰭式場效電晶體結構。
第8C、10C、11C和12C根據本揭露的一些實施例,繪示閘極結構的剖面示意圖,其分別對應第8A、10A、11A和12A的剖面示意圖,並且繪示閘極結構的層的額外的細節。
第14圖根據本揭露的一些實施例,繪示用於切割閘極製程之蝕刻步驟的一些實施例的蝕刻速率。
以下內容提供了許多不同的實施例或範例,用於實施所提供之標的之不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上或上方,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。此外,本發明實施例在不同範例中可重複使用參考數字及/或字母,此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,空間相關用詞,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語可用於此,這些空間相關用詞係為了便於描述圖式中一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
還要注意的是,本揭露以多閘極電晶體或鰭狀多閘極電晶體的形式,在此稱之為鰭式場效電晶體裝置,來呈現實施例。這種裝置可以包含P型金屬氧化物半導體鰭式場效電晶體裝置或N型金屬氧化物半導體鰭式場效電晶體裝置。鰭式場效電晶體裝置可以是雙閘極(dual-gate)裝置、三閘極(tri-gate)裝置、體(bulk)裝置、絕緣體上覆矽(silicon-on- insulator,SOI)裝置及/或其他配置。本發明所屬技術領域中具有通常知識者將可理解,半導體裝置的其他實施例可以從本揭露的面向獲得益處。舉例來說,在此描述的一些實施例也可以用於全繞式閘極(gate-all-around,GAA)裝置、Ω型閘極(Omega-gate,Ω-gate)裝置或Π型閘極(Pi-gate,Π-gate)裝置。在其他的實施例中,可以使用在此討論的一或多個結構或方法製造平面裝置。
本申請通常與金屬閘極結構及相關的方法有關。詳細而言,本揭露係關於金屬閘極切割製程及相關的結構。金屬閘極電極已用來取代多晶矽閘極電極。相較於多晶矽閘極電極,金屬閘極電極提供一些優點,例如避免多晶矽耗盡效應、藉由選擇適當的閘極金屬來調整功函數以及其他益處。舉例而言,金屬閘極電極的製造過程可以包含金屬層沉積,接著是隨後的金屬層切割製程。
相較於先前技術,本揭露的一些實施例提供許多優點,但應理解的是,其他實施例可以提供不同的優點,並非所有的優點都必須在此討論,並且不是所有實施例都需要特定的優點。通常而言,並且根據在此揭露的一些實施例,提供金屬閘極切割製程及相關的結構。本揭露的至少一些實施例可以用於增加對於切割製程的控制,以提供例如在切割製程之後,減少在周圍的膜層(例如層間介電層(ILD))上留下金屬閘極結構的剩餘部分的風險。舉例來說,在至少一些現有的製程中,金屬閘極包含一些不同組成的膜層,這些膜層的一或多個可能不想要地未從切割區中完全去除。這會產生 不想要的效能問題,例如損失所要的絕緣特性(例如降低電子基極絕緣體(electron base insulator,EBI)效能)。在此呈現的方法和裝置的某些實施例可以減少及/或消除這種殘留,而不損失周圍的材料,例如圖案化硬遮罩。這可以改善金屬閘極切割製程的操作寬裕度。在一些實施例中,所呈現的一或多個製程還可以在橫向蝕刻期間,減少金屬組件的過度蝕刻。
為了減輕一或多個問題,包含例如在蝕刻之後的不想要的金屬閘極殘留物,本揭露的一些實施例提供金屬閘極結構和實施金屬閘極切割製程的方法,此方法實施一或多個針對金屬閘極切割的蝕刻製程。
在第1圖繪示的是鰭式場效電晶體裝置100。在此討論的各種實施例可以用於製造鰭式場效電晶體裝置100及/或在鰭式場效電晶體裝置100的最終結構中呈現。鰭式場效電晶體裝置100包含以一或多個以鰭片為主(fin-based)的多閘極鰭式場效電晶體(FETs)。鰭式場效電晶體裝置100包含基底102、至少一個鰭片元件(又可稱為鰭片)104從基底102延伸出來、隔離區106以及設置在鰭片元件104上並環繞鰭片元件104的閘極結構108。基底102可以是半導體基底,例如矽基底。 基底可以包含在半導體基底上形成的各種膜層,包含導電或絕緣層。基底可以包含各種摻雜配置,取決於本發明所屬技術領域中已知的設計需求。基底也可以包含其他的半導體,例如鍺、碳化矽(silicon carbide,SiC)、矽鍺(silicon germanium,SiGe)或金剛石(diamond)。或者,基底可以包含化合物半導體及/或合金半導體。此外,在一些實施例中,基 底可以包含磊晶層(epitaxial layer,epi-layer),基底可以是為了提升效能而應變的(strained),基底可以包含絕緣體上覆矽(silicon-on-insulator,SOI)結構及/或基底可以具有其他合適的增強部件。
鰭片元件104,類似基底102,可以包含矽或其他元素半導體,例如鍺;化合物半導體,包含碳化矽、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide);合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP;或前述之組合。鰭片104的製造可以使用合適的製程,包含微影(photolithography)和蝕刻製程。微影製程可以包含在基底上方(例如在矽層上)形成光阻層(阻劑)、將光阻曝光成圖案、實施曝光後烘烤(post-exposure bake)製程、以及將光阻顯影以形成包含光阻的遮罩元件。在一些實施例中,將光阻圖案化以形成遮罩元件的實施可以使用極紫外光(extreme ultraviolet,EUV)微影製程或電子束(electron beam,e-beam)微影製程。 遮罩元件可以接著用於保護基底的區域,同時蝕刻製程在矽層中形成凹槽(recess),藉此留下延伸的鰭片104。凹槽的蝕刻可以使用乾式蝕刻(例如化學氧化物移除)、濕式蝕刻及/或其他合適的製程。也可以使用眾多其他在基底102上形成鰭片104之方法的實施例。
各個鰭片104也可以包含源極區105和汲極區107,其中在鰭片104中、上及/或周圍形成源極/汲極區105、 107。可以在鰭片104上方磊晶成長源極/汲極區105、107。在鰭片104內、在閘極結構108下方設置電晶體的通道區。在一些範例中,鰭片的通道區包含高遷移率材料,例如鍺,以及任何如上所述之化合物半導體或合金半導體及/或前述之組合。高遷移率材料包含那些具有大於矽之電子遷移率的材料。
隔離區106可以是淺溝槽隔離(shallow trench isolation,STI)部件。或者,可以在基底102上及/或內部設置場氧化物(field oxide)、矽局部氧化(local oxidation of silicon,LOCOS)部件及/或其他合適的隔離部件。隔離區106可以由氧化矽、氮化矽、氮氧化矽、氟摻雜的矽酸鹽玻璃(fluorine-doped silicate glass,FSG),低介電常數(low-k)介電質、前述之組合及/或本領域已知的其他合適的材料。在一實施例中,隔離結構是淺溝槽隔離(STI)部件,並且藉由在基底102中蝕刻出溝槽來形成。然後可以用隔離材料填充溝槽,接著進行化學機械研磨(chemical mechanical polishing,CMP)製程。然而,可能是其他實施例。在一些實施例中,隔離區106可以包含多層結構,舉例來說,具有一或多個襯層(liner layers)。
閘極結構108包含閘極堆疊,在一些實施例中,閘極堆疊具有在鰭片104的通道區上方形成的界面層、在界面層上方形成的閘極介電層110、以及在閘極介電層110上方形成的金屬層112。界面層可以包含介電材料,例如氧化矽層(SiO2)或氮氧化矽(SiON)。界面層的形成可以藉由化學氧化 (chemical oxidation)、熱氧化(thermal oxidation)、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)及/或其他合適的方法。閘極介電層110可以包含高介電常數(high-k)介電層,例如氧化鉿(HfO2)。 或者,高介電常數介電層可以包含其他高介電常數介電質,例如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、前述之組合或其他合適的材料。在另一些其他的實施例中,閘極介電層110可以包含二氧化矽或其他合適的介電質。介電層的形成可以藉由原子層沉積(ALD)、物理氣相沉積(physical vapor deposition,PVD)、氧化及/或其他合適的方法。金屬層112代表一或多種金屬組成,並且可以包含導電層,例如鎢(W)、氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、錸(Re)、銥(Ir)、釕(Ru)、鉬(Mo)、鋁(Al)、鈷(Co)、鎳(Ni)、前述之組合及/或其他合適的組成。在一些實施例中,金屬層112可以包含用於N型鰭式場效電晶體的第一金屬材料以及用於P型鰭式場效電晶體的第二金屬材料。因此鰭式場效電晶體裝置100可以包含雙功函數(dual work-function)金屬閘極配置。舉例來說,第一金屬材料(例如對於N型裝置)可以包含具有一功函數之金屬,此功函數大抵上對準基底導帶(conduction band)之功函數,或至少大抵上對準鰭片104的通道區之導帶的功函數。同樣地,舉例來說,第二金屬材料(例如對於P型裝置)可以包含具有一功函數之金屬,此功函數大抵上對準基底價帶(valence band)之功函數,或至少大抵上對準鰭片104的通道區之價帶的功函數。金屬層112除了包含那些提供功函數的膜層外,亦可額外 包含例如阻障層(barrier layers)、晶種層(seed layers)、蓋層(capping layers)、填充層(fill layers)及/或包含如下討論的其他合適的組成和功能。因此,金屬層112可以提供用於鰭式場效電晶體裝置100的閘極電極,包含N型和P型鰭式場效電晶體裝置100兩者。金屬層112的形成可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束(e-beam)蒸鍍(evaporation)及/或其他合適的製程。在一些實施例中,在閘極結構108的側壁上形成側壁間隔物。側壁間隔物可以包含介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽或前述之組合。可以在閘極結構108的金屬層112的區域上方沉積硬遮罩層114(例如氮化矽)。
應注意的是,層間介電(interlayer dielectric,ILD)層可以沉積於基底102上,包含在隔離區106和源極/汲極區105/107上方。為了易於說明其他層,不繪示層間介電層。 如以下的討論,提供金屬閘極切割圖案210,用於定義一區域,在此區域移除一部分的閘極結構108,以提供閘極結構108之不連續的區塊(108A、108B)。可以使用絕緣材料填充金屬閘極切割圖案210的區域,包含以下例如第3和4圖討論的範例。
現在參照第2圖,在此討論相鄰的鰭片204和設置於鰭片204上方並大抵上垂直於鰭片204之金屬閘極結構208的上視示意圖。在一些實施例中,第2圖的區段X-X’可以大抵上平行於由第1圖的區段X-X’所定義的平面,並且第2圖的區段Y-Y’可以大抵上平行於由第1圖的區段Y-Y’所定義的平面。在 一些情況下,鰭片204可以大抵上與先前討論的鰭片104相同,並且金屬閘極結構208可以至少在一些方面相似於先前討論的閘極結構108。舉例而言,第2圖也繪示金屬閘極切割圖案210,在一些範例中,藉由圖案化硬遮罩層(包含以下討論的)定義金屬閘極切割圖案210。在一些實施例中,金屬閘極切割圖案210提供開口(例如在圖案化硬遮罩層中),經由開口實施金屬閘極線切割(line-cut)製程,並且切割在開口下方的金屬閘極結構208的部分212,以將開口中的金屬閘極結構從基底移除,而提供不連續的金屬閘極結構區塊的第一與第二部分(例如第2圖的208A和208B,其大抵上類似於第1圖的108A、108B)。金屬閘極線切割製程,如在此討論的,可以包含乾式蝕刻製程、濕式蝕刻製程或如以下詳細討論的前述之組合,其可用於移除金屬閘極結構208的一部分,此部分位於藉由金屬閘極切割圖案210所定義的區域內。舉例而言,金屬閘極線切割製程可以用於將金屬閘極線切割成隔開的、電性不連接的且不連續的線段(又稱為區塊)208A和208B。在一些實施例中,可以在線切割區(例如金屬閘極層已被移除部分的位置)中形成介電層,作為金屬閘極線切割製程的一部分。如圖所示,金屬閘極切割圖案210可以覆蓋設置於基底上的隔離區,例如第1圖的隔離區106。然而,在其他的實施例中,金屬閘極切割圖案210可以覆蓋鰭片,例如鰭片204,舉例來說,在金屬閘極切割圖案210下方的鰭片204整體或部分是虛設鰭片(dummy fin)。
參照第3圖,在此繪示鰭式場效電晶體結構(又稱 為鰭式場效電晶體裝置)100的一部分的剖面示意圖,其沿著大抵上平行於藉由第1圖的區段Y-Y’所定義的平面。參照第4圖,在此繪示鰭式場效電晶體結構100的一部分的剖面示意圖,其沿著大抵上平行於藉由第1圖的區段X-X’所定義的平面。鰭式場效電晶體結構100包含已經被切割(108A、108B)的金屬閘極結構108。可以根據在此討論的一些實施例,包含關於第5圖的實施例,切割金屬閘極結構108。鰭式場效電晶體結構100可以包含一或多個如上述參照第1和2圖所討論的部件,例如從基底102延伸出來的鰭片元件104、隔離區106以及設置在鰭片元件104上並環繞鰭片元件104的閘極結構108。閘極結構108可以大抵上類似於上述之第1圖的閘極結構108及/或第2圖的閘極結構208。閘極結構108可以是金屬閘極結構,例如閘極堆疊,其具有閘極介電層和形成於閘極介電層上方的金屬層。在一些範例中,金屬層可以包含複數個金屬材料,包含例如第一金屬材料(例如P型功函數金屬(P-type work function metal,PWFM))、在第一金屬材料上方的第二金屬材料(例如N型功函數金屬(N-type work function metal,NWFM))以及在第二金屬材料上方的第三金屬材料(例如填充金屬(例如鎢))等。第3圖更繪示金屬閘極切割區210,閘極結構108於此處被切割,使得閘極結構108不連續,並且其可成為此處所述之金屬閘極線切割製程的一部分。在各種情況下,在後續的製程步驟,介電層322可以形成於金屬閘極切割210的區域中,並且位於閘極區塊108A、108B之間。介電層322的介電組成可以不同於隔離區106的材料及/或鄰近的層間介電層之 介電材料,如所繪示之層間介電層320。層間介電層320的形成可以藉由化學氣相沉積(CVD)或其他合適的沉積製程,並且在一些實施例中,可以在沉積之後將其平坦化。作為非限定其組成的範例,層間介電層320可以包含二氧化矽、氮化矽、氮氧化矽、含碳介電質、四乙氧基矽烷(tetraethoxysilane,TEOS)以及前述之組合,並且可以是低介電常數(low-k)、高介電常數(high-k)或氧化物介電質,且可以由其他已知用於層間介電層的材料形成。應注意的是,層間介電層320繪示成單層,但此裝置通常也會包含其他介電材料,例如間隔物元件、蝕刻停止層和類似的材料。
第3圖繪示金屬閘極切割區210,其向下延伸經過淺溝槽隔離(又稱為隔離區)106的頂面(例如對淺溝槽隔離106過度蝕刻(over-etch)的切割)。然而,在其他實施例中,金屬閘極切割將會延伸至淺溝槽隔離結構(又稱為隔離區)106的頂面,或可以延伸穿過淺溝槽隔離結構106至基底102。第3圖繪示介電質(又稱為介電層)322填充切割區(又稱為金屬閘極切割圖案)210,切割區210相對於與淺溝槽隔離106平行的頂面具有角度A。在一些實施例中,角度A從約80至約90度。
應注意的是,如第4圖所示,切割區210的輪廓在與淺溝槽隔離106的頂面共面的點之處,具有較大寬度W3。 較大寬度W3在上部縮減至大抵上恆定的寬度W1。下部,在較大寬度下方,也可以得到大抵上恆定的寬度W2。在一實施例中,W1和W2大抵上相等。在一實施例中,用於定義上部具有寬度W1的側壁與用於定義下部具有寬度W2的側壁共線 (collinear)。在一實施例中,在淺溝槽隔離106的頂面下方之底部具有高度H。高度H可以是淺溝槽隔離106的厚度的從約10%至約70%。在一實施例中,輪廓以角度B從寬度W3漸縮至寬度W1,相對於平行於淺溝槽隔離106之頂面的平面,角度B從約75度至約90度。換句話說,在一些實施例中,將介電層322填充切割區的側壁設置為與底下的基底的頂面正交(orthogonal)。在一些實施例中,介電層322的側壁以一角度設置,此角度與基底及/或隔離區106的頂面的垂直方向偏離至少5度。
現在參照第5圖,根據至少一些實施例,在此繪示半導體製造方法500的流程圖。還可以在方法500之前、期間和之後提供額外的步驟,並且為了方法的額外的實施例,在此描述的一些步驟可以被取代、取消或移動至其他步驟之前或之後。也應注意的是,方法500是例示性,並非用於將本 發明實施例限制於以下的申請專利範圍所明確記載的範圍之 外。方法500將結合第6A、6B、7A、7B、8A、8B、8C、9A、9B、10A、10B、10C、11A、11B、11C、12A、12B、12C、13A和13B圖,在以下更進一步討論。第6A、7A、8A、9A、10A、11A、12A和13A繪示鰭式場效電晶體結構600沿著一平面的剖面示意圖,此平面大抵上平行於由第1圖的區塊X-X’所定義的平面,並且第6B、7B、8B、9B、10B、11B、12B和13B繪示結構600沿著一平面的剖面示意圖,此平面大抵上平行於由第1圖的區塊Y-Y’所定義的平面。
在各種實施例中,方法500開始於方框502,在方 框502提供包含鰭片和隔離區的基底。參照第6A和6B圖的範例,並且在方框502的實施例中,繪示說明用的結構600。子結構(又稱為結構)600可以是鰭式場效電晶體結構的一部分。 結構600可以包含一或多個如上所述參照第1圖的部件,例如從基底102延伸的鰭片元件104,以及隔離區106。
方法500接著進行至方框504,在方框504中,虛設閘極(dummy gates)形成於基底上。如第6A和6B圖所示,虛設閘極結構602設置在鰭片元件104上並環繞鰭片元件104。
虛設閘極結構602可以包含閘極堆疊,閘極堆疊具有介電層(例如包含界面層及/或閘極介電層)和在上方的閘極電極層。在一些實施例中,閘極電極層是多晶矽(polysilicon)。虛設閘極結構602的閘極介電層可以是犧牲的,或在一些實施例中,保留在最終結構中。虛設閘極結構602隨後可以在包含合適的閘極取代製程中從基底102移除,此閘極取代製程係用來導入金屬閘極。
在如第6A圖所示的範例中,虛設閘極結構602可以具有「足部輪廓(footing profile)」,使得虛設閘極結構602的底部寬度大於虛設閘極結構602的頂部。「足部輪廓」包含具有漸縮的(tapered)側壁之底部,減縮的側壁從較大的底部寬度延伸至較小的頂部寬度。漸縮的側壁也被稱為與基底102的表面及/或隔離區106的頂面正交(orthogonal)的側壁。「足部輪廓」的產生可以來自用於形成虛設閘極結構602的曝光、顯影及/或蝕刻製程,並且可以包含如上所述參照第4圖的配置。
層間介電層(interlayer dielectric,ILD)320設置成鄰近虛設閘極結構602。層間介電層320可以大抵上類似於以上在第3和4圖的討論。
然後方法500進行至方框506,在方框506可以移除虛設閘極結構,以在基底上方形成溝槽。在一些實施例中,溝槽形成於層間介電層320中,但也可以使用某些其他層以定義溝槽側壁,像是例如設置於虛設閘極結構602的側壁上的間隔物元件。虛設閘極結構的移除可以包含對虛設閘極結構602有選擇性的濕式及/或乾式蝕刻製程。在一範例中,蝕刻溶液包含可以用於移除虛設閘極結構602之多晶矽的HNO3、H2O和HF。在另一範例中,以氯為主的(Cl-based)電漿可以用於選擇性地移除多晶矽層。第7A和7B圖繪示藉由移除虛設閘極結構602形成溝槽702。
然後方法500進行至方框508,在方框508中,藉由移除虛設閘極,提供在溝槽中形成的金屬閘極結構。金屬閘極結構可以包含多層,包含一或多個在溝槽中形成的界面層、閘極介電層、功函數層、阻障層、黏著層、擴散層、金屬填充層及/或其他合適的層。
參照第8A和8B圖的範例,金屬閘極結構804形成於基底102上,包含在鰭片104上方並且環繞鰭片104。金屬閘極結構804包含多層,例如第8C圖的範例所示。應注意的是,第8C圖的實施例只是例示性,並非用於將本案限制成超出以下申請專利範圍所明確記載的組成、層的數量或層的配置。
金屬閘極結構804可以包含功函數層。在一些實 施例中,功函數金屬層包含P型功函數金屬(p-type work function metal,PWFM)。只是舉例而言,P型功函數金屬可以包含Ni、Pd、Pt、Be、Ir、Te、Re、Ru、Rh、W、Mo、WN、RuN、MoN、TiN、TaN、WC、TaC、TiC、TiAlN、TaAlN或前述之組合。在各種實施例中,P型功函數金屬層的形成可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束(e-beam)蒸鍍及/或其他合適的製程。金屬閘極結構804可以包含金屬層的功函數層,金屬層包含N型功函數金屬(n-type work function metal,NWFM),舉例而言,N型功函數金屬可以包含Ni、Pd、Pt、Be、Ir、Te、Re、Ru、Rh、W、Mo、WN、RuN、MoN、TiN、TaN、WC、TaC、TiC、TiAlN、TaAlN或前述之組合。在各種實施例中,N型功函數金屬層的形成可以使用物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束(e-beam)蒸鍍及/或其他合適的製程。在一些實施例中,填充金屬層、阻障層、擴散層及/或其他合適的層包含在金屬閘極結構的多層中。範例的金屬閘極結構804的金屬層可以包含其他金屬,例如Ni、Pd、Pt、Be、Ir、Te、Re、Ru、Rh、W、Mo、WN、RuN、MoN、TiN、TaN、WC、TaC、TiC、TiAlN、TaAlN或前述之組合。
金屬閘極結構804還包含在金屬閘極結構804的金屬層下方的閘極介電層(並且在一些情況下為底下的界面層)。 閘極介電層可以包含高介電常數介電層,例如氧化鉿(hafnium oxide)。
第8C圖繪示金屬閘極結構804的實施例,將金屬 閘極結構804繪示成包含金屬閘極結構804’的多層堆疊。第8C圖的例示性金屬閘極結構804’包含高介電常數閘極介電層806。在一實施例中,高介電常數介電層(又稱為閘極介電層)806是氧化鉿。在一些實施例中,在高介電常數閘極介電質(又稱為閘極介電層)806下方是未繪示的界面層(例如氧化矽)。第一金屬層808設置於高介電常數閘極介電層806上。在一實施例中,藉由沉積氮化鈦(TiN)形成第一金屬層808。在一些實施例中,在金屬閘極結構804’的形成結構中,金屬層(又稱為第一金屬層)808的組成可以包含氮化鈦(TiN)和矽(例如因為從周圍的膜層擴散出來)或TiSiN。在一實施例中,藉由沉積氮化鉭(TaN)或TaSiN形成第一金屬層808。在一些實施例中,在金屬閘極結構804’的形成結構中,金屬層808的組成可以包含氮化鉭(TaN)和矽(例如因為從周圍的膜層擴散出來)。
第二金屬層810設置於第一金屬層808上。在一實施例中,第二金屬層810包含TaN。在一實施例中,第三金屬層812包含TiN。在一實施例中,第四金屬層814包含TiAl。在一實施例中,第五金屬層816(例如填充金屬層)包含TiN。因此,在一些實施例中,第一金屬層808包含TiSiN、第二金屬層810包含TaN、第三金屬層812包含TiN、第四金屬層814包含TiAl及/或第五金屬層816包含TiN。作為提醒,這些組成是範例,並且只限於以下申請專利範圍中明確記載的範圍。這些層的任何一或多個的形成可以使用原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(PVD)、包含電漿增強化學 氣相沉積(plasma enhanced CVD)的化學氣相沉積(CVD)及/或其他合適的沉積製程。閘極結構804’由介電質(註,如元件(又稱為層間介電層)320)環繞,例如鄰近的層間介電層或其他介電部件的介電材料,例如形成抵接(abutting)閘極結構的間隔物元件。
應注意的是,方法500可以包含在形成金屬閘極結構期間,實施一或多個化學機械研磨(chemical mechanical polishing,CMP)製程。
方法500進行至方框510,在方框510中,沉積硬遮罩層並且將硬遮罩層圖案化。在一些實施例中,硬遮罩層可以包含圖案化氮化矽(SiN)層。或者,在一些實施例中,硬遮罩層可以包含圖案化介電層,例如氮氧化矽、碳化矽或其他合適的材料。參照第8A和8B圖的範例,沉積硬遮罩層802。參照第9A和9B圖的範例,將硬遮罩層802圖案化。在一些實施例中,硬遮罩層802的圖案化包含定義一區域的開口902,在這個區域下方實施金屬閘極線切割。舉例來說,在一些情況下,開口902可以對應金屬閘極切割圖案,類似於第2圖中的金屬閘極切割圖案210。在各種實施例中,一或多個閘極結構804的一部分在開口902中暴露出來。
方法500進行至方框512,在方框512中,實施金屬閘極線切割製程。參照第10A、10B、10C、11A、11B、11C和12A、12B、12C圖的範例,在方框512的實施例中,以一系列蝕刻步驟實施金屬閘極線切割製程。
在一些實施例中,以三個蝕刻步驟實施方框 512,如第5圖所示的方框512A、512B和512C。在一實施例中,相繼且依序地實施方框512A、512B和512C,沒有介於其中的步驟。在一些實施例中,相繼且依序地實施方框512A、512B和512C,有介於其中的清潔或潤洗(rinse)/乾燥步驟。在一些實施例中,藉由不同的設備實施每一個方框512A、512B和512C,舉例來說,在不同的腔室(chamber)中實施蝕刻步驟。
方框512的金屬閘極切割製程可以從方框512A的第一蝕刻製程開始。在一實施例中,第一蝕刻製程是乾式蝕刻製程。第一蝕刻製程可以是非等向性(anisotropic)蝕刻製程。舉例來說,第一蝕刻製程可以用於將金屬閘極結構切割成具有大抵上垂直的側壁,產生切割區。
在一些實施例中,第一蝕刻製程包含一或多個以下的乾式蝕刻參數。
在一些實施例中,在方框512A的第一蝕刻製程之後,在切割區中,有不想要的、殘留的金屬閘極材料。如第10A圖所示,在第一蝕刻製程形成切割區1002之後,提供剩餘部分1004。在一些實施例中,剩餘部分1004包含金屬閘極結構的閘極介電層以及在上方的含金屬層。在一些實施例中,在剩餘部分1004中的上方的含金屬層包含鈦或鉭的至少一個。在一些實施例中,在剩餘部分1004中的上方的含金屬層 包含氮化鈦或氮化鉭的至少一個。在一些實施例中,在剩餘部分1004中的上方的含金屬層包含鈦或鉭、氮和矽(例如從周圍的膜層擴散出來的矽)的至少一個。第10C圖繪示例示性金屬閘極結構804’,在方框512A的第一蝕刻製程之後,剩餘部分1004’包含閘極介電層806和上方的含金屬層(又稱為第一金屬層)808。在一些實施例中,剩餘部分1004’更包含一部分的含金屬層810。因此,作為範例,在一些實施例中,在第一蝕刻製程之後,在切割區1002中留下一部分的膜層,包含鈦、鉭、氮化鈦/鉭(TiN/TaN)及/或氮化鈦/鉭和矽(TiSiN/TaSiN)。 在一實施例中,一或多個這些組成從一部分的膜層(又稱為第一金屬層)808留下。在另一個實施例中,一部分的膜層(又稱為第二金屬層)810也留在切割區1002中,並且也可以包含鈦、鉭、氮化鈦/鉭(TiN/TaN)。在此實施例中,第二金屬層810可以包含另一個鈦或鉭(相較於第一金屬層808)及/或是另一個鈦或鉭的氮化物。應注意的是,第10B圖繪示第一蝕刻製程延伸進入淺溝槽隔離結構106。然而,在其他實施例中,第一蝕刻製程延伸至淺溝槽隔離結構106的頂面。在一些實施例中,第一蝕刻製程延伸穿過淺溝槽隔離結構106至基底102。 應注意的是,如第10A圖所示,剩餘部分1004可以沿著開口1002的側壁設置,開口1002具有在淺溝槽隔離結構的頂面之平面下方的側壁長度(由淺溝槽隔離結構106定義)。在一些實施例中,開口的這個側壁為直線的(linear),並且與開口的上部側壁共線。
然後方框512的金屬閘極切割製程進行至方框 512B的第二蝕刻製程。在一實施例中,第二蝕刻製程是乾式蝕刻製程。第二蝕刻製程可以是等向性(isotropic)的蝕刻製程(例如乾式蝕刻等向性製程)。在一實施例中,選擇第二蝕刻製程,使其能夠蝕刻TiN、TaN、TaSiN、W及/或SiN。第二蝕刻製程可以包含作為蝕刻劑的NF3。第二蝕刻製程可以用於蝕刻TiN、TaN及/或TaSiN組成,而不會蝕刻高介電常數介電質。 第二蝕刻製程可以用於蝕刻TiN、TaN及/或TaSiN組成,而不會蝕刻TiAl組成。第二蝕刻製程可以用於蝕刻TiN、TaN及/或TaSiN組成,而不會蝕刻任何周圍的介電質,例如層間介電層320、間隔物元件、淺溝槽隔離106等的介電材料。在一實施例中,層間介電層320及/或淺溝槽隔離層(又稱為隔離區)106包含氧化矽。第二蝕刻製程可以是選擇性的,使其大抵上不會蝕刻氧化矽。換句話說,可以選擇第二蝕刻製程,以用於蝕刻TiN、TaN及/或TaSiN組成,而不會蝕刻氧化矽。在一些實施例中,因為第二蝕刻製程的等向性的性質,在切割區中可以橫向蝕刻剩餘的含金屬層,例如TaN、TiN、TaSiN及/或TiSiN層。在第14圖中提供例示性第二蝕刻製程的蝕刻速率。
在一些實施例中,第二蝕刻製程的實施可以從攝氏50至75度。在一些實施例中,第二蝕刻製程的實施可以從約60至約180秒。在一實施例中,第二蝕刻製程的氣體包含NF3。在一些實施例中,上述氣體更包含O2
如第11A圖所示,以第二蝕刻製程蝕刻第一蝕刻製程(包含橫向)所留下的剩餘部分1004,因而提供留下的剩餘部分1102。亦即,如第11A圖所示,在第二蝕刻製程之後,留 下的剩餘部分1102設置於切割區1002中。在一些實施例中,留下的剩餘部分1102包含閘極介電層的材料。第11C圖繪示例示性金屬閘極結構804’,在方框512B的第二蝕刻製程之後(例如在乾式等向性蝕刻之後),留下的剩餘部分1102’包含閘極介電層806。因此,舉例而言,在一些實施例中,在第二蝕刻製程之後,留下一部分的閘極介電層806,包含高介電常數介電質(例如HfO2)。
在一些實施例中,第二蝕刻製程具有TiSiN大於TaN的蝕刻速率及/或TaN大於TiN的蝕刻速率。在一些實施例中,硬遮罩或底部抗反射塗層(bottom anti-reflective coating,BARC)蝕刻速率是約4.5至12埃/分(Angstroms/minute)。例如為了將底部抗反射塗層/硬遮罩(BARC/HM)的損失降至最低,可以因此控制硬遮罩或底部抗反射塗層(BARC)的蝕刻速率。
應注意的是,在一些實施例中,第二蝕刻製程使用包含氟(F)的蝕刻劑,其可以在蝕刻期間滲透進入層間介電層320。在一些實施例中,這可以將層間介電層320的厚度從第二蝕刻製程512B之前,增加至第二蝕刻製程512B之後。在一些實施例中,層間介電層320包含二氧化矽(SiO2),在實施第二蝕刻製程之後,層間介電層320包含氟離子。
然後方框512的金屬閘極切割製程進行至方框512C的第三蝕刻製程。在一實施例中,第三蝕刻製程可以是濕式蝕刻製程。在一些實施例中,第三蝕刻製程包含稀釋的氫氟酸(hydrofluoric acid)蝕刻。舉例來說,可以使用從約 500(去離子水(Deionized water,DI)):1(氫氟酸(HF))至約2000(去離子水):1份(氫氟酸)之稀釋的氫氟酸(dilute HF,DHF)蝕刻劑。在一些實施例中,第三蝕刻製程具有一蝕刻劑,其選擇性地從基底移除任何剩餘的閘極介電層(例如高介電常數介電質)。在一些實施例中,第三蝕刻製程對閘極介電層(例如高介電常數介電質,例如HfO2)的組成有選擇性。舉例來說,第三蝕刻製程的蝕刻劑可以大抵上不蝕刻硬遮罩或其他介電層,例如層間介電質320或隔離區106。如第12A、12B和12C圖所示的範例,在第三蝕刻製程之後,可以從基底102移除剩餘部分1102。因為金屬閘極結構804之原本的「足部輪廓」,切割金屬閘極製程所產生的開口1002也包含一部分寬於其它部分的寬度(例如在淺溝槽隔離106中的頂部區和底部區)。
應注意的是,開口1002的輪廓特徵在於,在與淺溝槽隔離106的頂面共平面的點上,此輪廓具有較大的寬度W3。較大的寬度在上部漸縮至大抵上恆定的寬度W1。下部,在較大的寬度下方,也可以得到大抵上恆定的寬度W2。在一實施例中,輪廓以從約75至小於90度的角度,從寬度W3漸縮至寬度W1。換句話說,開口1002的側壁與底下的基底102的頂面正交。在寬度W3上方和下方之開口1002的區域之側壁包含一些側壁,這些側壁彼此共線及/或大抵上垂直於基底102的頂面。
因此,方框512提供多步驟的蝕刻步驟,其在線切割區1002中移除部分的金屬閘極結構802。在一實施例中, 線切割區1002延伸進入底下的隔離區106,並且有效地將現在相鄰的閘極堆疊中的導電閘極金屬層彼此分開。在另一實施例中,線切割區1002延伸穿過隔離區106。因此,開口1002可以延伸至基底102的表面。
如上所述,在方框512中的一系列的蝕刻步驟,本揭露可以不需要在切割區內嚴重過度蝕刻切割區中的金屬層而損壞鄰近的介電層,而是可以用於移除線切割區中的不想要的金屬閘極結構的殘留層。移除殘留物可以產生提升的電子基極絕緣體(EBI)裝置效能。受到控制的蝕刻製程可以用於降低金屬橫向蝕刻,金屬橫向蝕刻會導致金屬層的過蝕刻。舉例來說,在一些實施例中,可以提供方框512的步驟,使得蝕刻將上方的硬遮罩(例如硬遮罩802)的損失降至最低及/或避免損失。因此,在本發明實施例的一或多個實施例中,可以放大用於切割金屬閘極製程的操作寬裕度。在一些實施例中,在具有如上所述之選擇性蝕刻的切割製程期間,可以相當地保留寬度W1的關鍵尺寸。
方法500進行至方框514,在方框514中,在結構600上繼續製造。在一些實施例中,在切割區中沉積介電層。 在另一些實施例中,實施化學機械研磨(CMP)製程。參照第13A和13B圖的範例,且在方框514的實施例中,可以沉積介電層1302並且實施化學機械研磨製程,以將介電層1302的頂面平坦化。在一些實施例中,介電層1302可以包含氧化矽、氮化矽、氮氧化物及/或其他合適的介電材料層。因此,在各種實施例中,介電層1302更可以用於電性隔離相鄰的閘極堆 疊之閘極金屬線。介電層1302的組成可以不同於層間介電層320及/或隔離區106。
鰭式場效電晶體結構600可以繼續進行至下一個製程,以形成本發明所屬技術領域中已知的各種部件和區域。舉例來說,後續的製程可以在基底上形成各種接觸件(contacts)/導孔(vias)/線以及多層內連線(multilayer interconnection,例如金屬層和層間介電質),配置成連接各種部件以形成功能性電路,功能性電路可以包含一或多個鰭式場效電晶體裝置。在另一範例中,多層內連線可以包含垂直的互連件,例如導孔或接觸件,以及水平的互連件,例如金屬線。各種互連部件可以使用各種導電的材料,包含銅、鎢及/或矽化物。在一範例中,使用鑲嵌(damascene)及/或雙鑲嵌(dual damascene)製程,以形成與多層內連線有關的銅。
以上概述數個實施例之部件,使得在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。

Claims (15)

  1. 一種半導體裝置的製造方法,包括:在一基底上形成一第一鰭片和一第二鰭片,該第一鰭片具有一第一閘極區且該第二鰭片具有一第二閘極區;在該第一和第二閘極區上方形成一金屬閘極線,其中該金屬閘極線從該第一鰭片延伸至該第二鰭片;以及實施一線切割(line-cut)製程以將該金屬閘極線分成一第一金屬閘極線和一第二金屬閘極線,其中該線切割製程包含:實施一第一蝕刻;在該第一蝕刻之後,實施一第二蝕刻,其中該第二蝕刻移除該金屬閘極線的一含金屬層的一剩餘部分;以及在該第二蝕刻之後,實施一第三蝕刻。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該線切割製程的實施包含:在該金屬閘極線上方形成一圖案化硬遮罩,其中該圖案化硬遮罩定義一開口;以及經由該開口蝕刻該金屬閘極線。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一蝕刻為一乾式蝕刻,該第二蝕刻為一乾式蝕刻,以及該第三蝕刻為一濕式蝕刻。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一蝕刻為一非等向性蝕刻且該第二蝕刻為一等向性蝕刻。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第三蝕刻移除一閘極介電層的一剩餘部分。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該含金屬層包含氮化鈦,且該含金屬層更包含矽。
  7. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中該閘極介電層的該剩餘部分為氧化鉿。
  8. 一種半導體裝置的製造方法,包括:在一基底上方的一溝槽中形成一金屬閘極結構,其中該金屬閘極結構的形成包含:形成一閘極介電層;在該閘極介電層上方形成一第一金屬層;在該第一金屬層上方形成一第二金屬層;以及在該金屬閘極結構上實施一切割閘極製程,以形成該金屬閘極結構的一第一部分和該金屬閘極結構的一第二部分,該第一和第二部分之間具有一切割區,其中該切割閘極製程的實施包含:實施一第一蝕刻製程以移除該第二金屬層的一第一區、該第一金屬層的一第一區和該閘極介電層的一第一區;實施一第二蝕刻製程以移除該第一金屬層的一第二區;以及實施一第三蝕刻製程以移除該閘極介電層的一第二區。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,更包括:在該實施該第三蝕刻製程之後,在該切割區中形成一介電材料。
  10. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中藉由該第一蝕刻製程將該第二金屬層從該切割區完全移除,且藉由該第一蝕刻製程和該第二蝕刻製程的組合,將該第一金屬層從該切割區完全移除,且藉由該第一蝕刻製程、該第二蝕刻製程和該第三蝕刻製程的組合,將該閘極介電層從該切割區完全移除。
  11. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該第二蝕刻製程對該第一金屬層具有選擇性,且該第三蝕刻製程對該閘極介電層具有選擇性。
  12. 一種半導體裝置,包括:一第一鰭片和一第二鰭片,從一基底延伸,該第一鰭片具有一第一閘極區且該第二鰭片具有一第二閘極區以及一淺溝槽隔離(STI)結構介於該第一和該第二鰭片之間;一金屬閘極結構的一第一部分設置於該第一閘極區上方且該金屬閘極結構的一第二部分設置於該第二閘極區上方,其中該第一和第二部分係藉由一切割閘極區隔開;以及一介電層,設置於該切割閘極區中且延伸至該淺溝槽隔離結構中;其中該金屬閘極結構的該第一部分具有一第一面抵接該切割閘極區,其中該第一面具有鄰近該淺溝槽隔離結構的一第一寬度和在該第一寬度上方的一第二寬度,該第二寬度小於該第一寬度。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該第一和該第二部分共線。
  14. 如申請專利範圍第12項所述之半導體裝置,該切割閘極區包含一第一介電材料,其具有相鄰於該淺溝槽隔離結構的該第一寬度和在該第一寬度上方的該第二寬度。
  15. 如申請專利範圍第12項所述之半導體裝置,其中該金屬閘極結構的該第一部分的該第一面具有從第二寬度到第一寬度的一漸縮(tapered)輪廓。
TW106139890A 2017-07-31 2017-11-17 半導體裝置及其製造方法 TWI668758B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539357P 2017-07-31 2017-07-31
US62/539,357 2017-07-31
US15/799,555 2017-10-31
US15/799,555 US10283503B2 (en) 2017-07-31 2017-10-31 Metal gate structure and methods thereof

Publications (2)

Publication Number Publication Date
TW201911404A TW201911404A (zh) 2019-03-16
TWI668758B true TWI668758B (zh) 2019-08-11

Family

ID=65038825

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139890A TWI668758B (zh) 2017-07-31 2017-11-17 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US10283503B2 (zh)
KR (1) KR102029547B1 (zh)
CN (1) CN109326562B (zh)
TW (1) TWI668758B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017126027B4 (de) * 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
CN109585293B (zh) * 2017-09-29 2021-12-24 台湾积体电路制造股份有限公司 切割金属工艺中的基脚去除
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
KR102595606B1 (ko) * 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
US11164866B2 (en) * 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
KR20200138527A (ko) 2019-05-30 2020-12-10 삼성전자주식회사 게이트 구조물 및 분리 구조물을 포함하는 반도체 소자
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US10985266B2 (en) * 2019-08-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling for semiconductor device
US11145752B2 (en) * 2019-09-17 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Residue removal in metal gate cutting process
US11264287B2 (en) * 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
CN113540214B (zh) * 2021-06-28 2024-04-19 上海华虹宏力半导体制造有限公司 屏蔽盾的刻蚀方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080194068A1 (en) * 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
TW201620135A (zh) * 2014-11-26 2016-06-01 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其形成方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8629512B2 (en) 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9627375B2 (en) * 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
US9559205B2 (en) * 2015-05-29 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
KR101785803B1 (ko) 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조체의 형성 방법
CN104992950A (zh) * 2015-06-05 2015-10-21 京东方科技集团股份有限公司 一种阵列基板及其制备方法、显示装置
US9570580B1 (en) * 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US20170148682A1 (en) 2015-11-19 2017-05-25 International Business Machines Corporation Finfet with post-rmg gate cut
US9985031B2 (en) 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US9887136B2 (en) * 2016-03-07 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices, and methods of forming the same
US9627379B1 (en) * 2016-03-07 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
DE102016111237B3 (de) * 2016-06-20 2017-11-23 Namlab Ggmbh Rekonfigurierbarer Nanodraht-Feldeffekt-Transistor und dessen Herstellung sowie ein Nanodraht-Array und dessen Rekonfigurierung
TWI707473B (zh) * 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080194068A1 (en) * 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
TW201620135A (zh) * 2014-11-26 2016-06-01 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其形成方法

Also Published As

Publication number Publication date
KR102029547B1 (ko) 2019-10-07
CN109326562B (zh) 2020-10-02
US10283503B2 (en) 2019-05-07
KR20190013408A (ko) 2019-02-11
TW201911404A (zh) 2019-03-16
US20190035786A1 (en) 2019-01-31
CN109326562A (zh) 2019-02-12
US11114436B2 (en) 2021-09-07
US20190326282A1 (en) 2019-10-24
US20200373298A1 (en) 2020-11-26
US10748898B2 (en) 2020-08-18

Similar Documents

Publication Publication Date Title
TWI668758B (zh) 半導體裝置及其製造方法
US20210313429A1 (en) Multi-gate device and method of fabrication thereof
US11721746B2 (en) Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
CN108231687B (zh) 半导体器件以及半导体器件制造的方法
US9997616B2 (en) Semiconductor device having a strained region
TWI552229B (zh) 半導體裝置及其製造方法
TWI572035B (zh) 半導體裝置及其製造方法
TWI642111B (zh) 半導體裝置及其製造方法
KR20190002301A (ko) 금속 게이트 구조물 커팅 공정
KR101706432B1 (ko) Finfet 디바이스를 위한 구조물 및 방법
US10840133B2 (en) Semiconductor structure with staggered selective growth
TW202234526A (zh) 半導體裝置及其形成方法
TW201926445A (zh) 半導體裝置的製作方法
TW201913749A (zh) 半導體裝置及其形成方法
US11682669B2 (en) Metal gate structure and methods thereof
TW202143300A (zh) 半導體裝置及其製造方法