TWI660424B - 在積體電路中形成ana區域之方法 - Google Patents

在積體電路中形成ana區域之方法 Download PDF

Info

Publication number
TWI660424B
TWI660424B TW106116867A TW106116867A TWI660424B TW I660424 B TWI660424 B TW I660424B TW 106116867 A TW106116867 A TW 106116867A TW 106116867 A TW106116867 A TW 106116867A TW I660424 B TWI660424 B TW I660424B
Authority
TW
Taiwan
Prior art keywords
layer
ana
mandrel
opl
pattern
Prior art date
Application number
TW106116867A
Other languages
English (en)
Other versions
TW201826376A (zh
Inventor
古拉密 波奇
傑森 伊葛尼 史蒂芬
金炳燁
二世 艾克 麥克 奇爾德
布瑞斯 納拉辛哈
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201826376A publication Critical patent/TW201826376A/zh
Application granted granted Critical
Publication of TWI660424B publication Critical patent/TWI660424B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種方法,包括提供一結構,其具有各自設置於介電堆疊上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層。用一心軸遮罩圖案化由數個心軸組成的陣列於該心軸層中。用第一截切遮罩圖案化一ANA溝槽於該心軸層中。用第二截切遮罩圖案化該ANA溝槽於該中介層中。設置一有機平坦化層(OPL)於該結構上方。蝕刻該OPL以只在該ANA溝槽中設置它,使得該OPL的頂面低於該第二硬遮罩層。蝕刻該結構以在該介電堆疊的一介電層中形成一圖案,從而在該介電層中形成由數條金屬線組成的陣列,該圖案中由該ANA溝槽形成的一部份在該介電層內形成一ANA區域。

Description

在積體電路中形成ANA區域之方法
本發明係有關於半導體裝置及其製法。更特別的是,本發明關於在積體電路中形成ANA區域之方法。
自對準雙圖案化(SADP)技術目前使用於超高密度積體電路的後段製程(BOEL)建造以提供一種電氣互連系統,其包括設置在數個層次之介電層中的多個平行金屬線陣列。該等介電層通常通過金屬化通孔的系統互連。照慣例,在金屬線陣列內,對於金屬線是在縱向的方向指定為“Y”方向以及對於金屬線垂直或在橫向的方向指定為“X”方向。
此類SADP技術通常涉及使用微影遮罩(本文指定為“心軸遮罩”)在硬遮罩層(hardmask layer)之頂面上圖案化及印製由縱向延伸之平行心軸組成的陣列。然後,在每個心軸的兩個側壁上形成一對自對準間隔體。
心軸與一對關連間隔體的每個組合被硬遮罩層中沒有任何上覆心軸或間隔體的暴露平行部份分離。 心軸向下圖案化至積體電路的介電層中以形成心軸金屬線。硬遮罩層的暴露部份也向下圖案化至介電層中以形成非心軸金屬線。因此,在使用SADP製程形成的互連系統中,每個平行金屬線陣列會包括交替的心軸及非心軸金屬線,它們分開的距離等於自對準間隔體的寬度。
為了在積體電路中提供在諸如電晶體、電容器之類的裝置之間的機能,必須在特定位置將複數條截切(cut)微影圖案化成為陣列的心軸及非心軸金屬線以引導電流在介電層、裝置之間流動。另一微影遮罩(本文指定為“心軸線截切遮罩(mandrel line cut mask)”或“第一截切遮罩”)通常用來將此類心軸截切圖案化成為心軸金屬線。又一微影遮罩(本文指定為“非心軸線截切遮罩”或“第二截切遮罩”)也通常用來將此類非心軸截切圖案化成為非心軸金屬線。
因此,用於圖案化積體電路之複雜互連系統中之金屬線陣列的典型SADP製程需要至少3種遮罩:心軸遮罩、心軸線截切遮罩及非心軸線截切遮罩。此類遮罩的開發及使用需要複雜先進的技術,特別是,在以微影方式印製屬於例如14奈米(nm)類及以下之技術類尺寸的極小特徵時。由於此類遮罩的開發及使用與大成本有關,因此最好維持最少的遮罩數。
不過,通常需要在運行的邏輯區塊之間提供相對大的平面過渡區域,其中可能完全沒有裝置或線路。這些過渡區域常稱為“ANA區域”(也習稱“白區域” 或“緩衝區域”)且通常有50至100奈米(nm)寬及數百奈米長或更多。ANA區域通常在Y方向(與在ANA區域平面中的金屬線陣列平行)縱向延伸或在X方向(與在ANA區域平面中的金屬線陣列垂直)縱向延伸。不過,ANA區域可在數個不同方向延伸且可能有複雜的形狀。
ANA區域用來提供不同邏輯區塊之間的必要分離,例如中央處理單元(CPU)區塊、靜態隨機存取記憶體(SRAM)區塊或其類似者,在複雜積體電路的製造過程期間,彼等由各種不同團隊設計。可能要求ANA區域能:防止區塊之間的電磁干擾,排除區塊之間的感應電流,防止區塊之間的熱傳遞,為了安全提供區塊之間的緩衝空間或其類似者。
可惜,當前習知製造方法需要至少一個附加ANA遮罩,且有時需要數個不同的ANA遮罩,以在積體電路上形成ANA區域。除了心軸遮罩以外,這些ANA遮罩為在SADP技術裡用來在積體電路之互連系統中形成金屬線的第一截切遮罩及第二截切遮罩。每個附加ANA遮罩會大幅增加積體電路設計的成本及勞力。
因此,亟須一種用最少的ANA遮罩在積體電路上形成ANA區域的方法。另外,需要排除使用只專用於在積體電路中形成ANA區域的遮罩。此外,需要一種只用第一截切遮罩及第二截切遮罩就可結合ANA區域之形成與金屬線截切之形成的方法。
本發明藉由提供一種優於且可替代先前技術的方法,其係只用第一及第二截切遮罩來形成ANA區域。沒有使用其他截切遮罩,因此避免使用用於形成ANA區域之附加遮罩的附加成本及微影公差問題。
根據本發明的一或更多態樣,一種方法包括提供一結構,其具有各自設置於介電堆疊(dielectric stack)上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層。用一心軸遮罩圖案化由數個心軸組成的陣列於該心軸層中。用第一截切遮罩圖案化一ANA溝槽於該心軸層中。用第二截切遮罩圖案化該ANA溝槽於該中介層中。設置一有機平坦化層(OPL)於該結構上方。蝕刻該OPL以只在該ANA溝槽中設置該OPL,使得該OPL的頂面低於該第二硬遮罩層。蝕刻該結構以在該介電堆疊的介電層中形成一圖案。從在該介電層中的該圖案,形成由交替心軸及非心軸金屬線組成的陣列,由該ANA溝槽形成的該圖案之一部份在該介電層內形成一ANA區域。
在本發明的另一態樣中,一種方法包括提供一結構,其具有各自設置於介電堆疊上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層。用一心軸遮罩圖案化由數個心軸組成的陣列於該心軸層中。用第一截切遮罩圖案化一貝他溝槽及一ANA溝槽於該心軸層中。用第二截切遮罩圖案化一伽瑪溝槽及該ANA溝槽於該中介層中。設置一有機平坦化層(OPL)於該結構上方。蝕刻該OPL以只設置該OPL於該ANA溝槽中。蝕刻該結構以在該介電堆 疊中形成一圖案。從在該介電堆疊中的該圖案形成由數條金屬線組成的陣列,該圖案中由該ANA溝槽形成的一部份在該介電堆疊內形成一ANA區域。
100‧‧‧結構
102‧‧‧介電堆疊
104‧‧‧第一硬遮罩層
106‧‧‧中介層
108‧‧‧第二硬遮罩層
110‧‧‧心軸層
112‧‧‧氮氧化矽介電層(SiON介電層)
114‧‧‧低介電常數(k)層
116‧‧‧蝕刻終止層
118‧‧‧埋藏層
120‧‧‧心軸微影堆疊
122‧‧‧第二旋塗式硬遮罩(SOH)層
124‧‧‧第二SiON介電層
126‧‧‧底部抗反射塗層(BARC)
128‧‧‧抗蝕劑層
130‧‧‧陣列
132‧‧‧心軸
134‧‧‧箭頭
136‧‧‧間距
138‧‧‧貝他微影堆疊、堆疊
140‧‧‧貝他開口
142‧‧‧貝他ANA開口
144‧‧‧抗蝕劑層
146‧‧‧貝他溝槽
148‧‧‧ANA溝槽
150‧‧‧伽瑪微影堆疊、堆疊
152‧‧‧伽瑪開口
154‧‧‧伽瑪ANA開口
156‧‧‧抗蝕劑層
158‧‧‧伽瑪溝槽
160‧‧‧間隔體層
162‧‧‧貝他溝槽填料
164‧‧‧伽瑪溝槽填料
166‧‧‧第一間隔體、間隔體
168‧‧‧OPL
170‧‧‧頂面
172‧‧‧底面
174‧‧‧厚度
176‧‧‧圖案
177‧‧‧金屬化溝槽
178‧‧‧金屬層
180‧‧‧金屬陣列、陣列
182‧‧‧ANA區域
184‧‧‧心軸金屬線
186‧‧‧非心軸金屬線、非心軸線
188‧‧‧心軸線截切
190‧‧‧非心軸線截切
由以下結合附圖的詳細說明可更加明白本發明。
第1圖的簡化側視圖根據本發明圖示用於積體電路裝置之結構的示範具體實施例,它在中間製造階段有各自設置在介電堆疊上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層;第2圖的側視圖根據本發明圖示第1圖之結構的示範具體實施例,其具有被圖案化於其上之數個心軸的第一心軸微影堆疊;第3圖的側視圖圖示第2圖之結構的示範具體實施例,其具有被圖案化於該心軸層中的數個心軸;第4圖的透視圖根據本發明圖示第3圖之結構的示範具體實施例,其具有被圖案化於其中之數個貝他開口及一貝他ANA開口的貝他微影堆疊;第5圖的透視圖根據本發明圖示第4圖之結構的示範具體實施例,其具被圖案化於心軸層110中的數個貝他溝槽及一ANA溝槽;第6圖的透視圖根據本發明圖示第5圖之結構的示範具體實施例,其具有被圖案化於其中之數個伽瑪開口及一伽瑪ANA開口的一伽瑪微影堆疊; 第7A圖的透視圖根據本發明圖示第6圖之結構的示範具體實施例,其具被圖案化於該中介層中的數個伽瑪溝槽及該ANA溝槽;第7B圖為第7A圖的頂部透視圖;第8圖的透視圖根據本發明圖示第7A圖的結構,其具有設置於其上的一間隔體層;第9圖的透視圖根據本發明圖示第8圖的結構,其具有受非等向性蝕刻的該間隔體層;第10圖的透視圖根據本發明圖示第9圖的結構,其具有設置於其上的一有機平坦化層(OPL);第11A圖的透視圖根據本發明圖示第10圖的結構,其具有只蝕入ANA溝槽的OPL層;第11B圖為第11A圖的頂部透視圖;第12A圖的透視圖根據本發明圖示第11A圖的結構,其具有受非等向性蝕刻去掉以形成圖案的心軸;第12B圖為第12A圖的頂部透視圖;第13A圖的透視圖根據本發明圖示第12A圖的結構,其具有第二硬遮罩層中被蝕刻去掉的暴露部份;第13B圖為第13A圖的頂部透視圖;第14A圖的透視圖根據本發明圖示第13A圖的結構,其具有中介層中受非等向性蝕刻去掉的暴露部份;第14B圖為第14A圖的頂部透視圖;以及第15A圖的透視圖根據本發明圖示第14A 圖的結構,其具有第一硬遮罩層中受非等向性蝕刻去掉的暴露部份;第15B圖為第15A圖的頂部透視圖;以及第16圖的透視圖根據本發明圖示第15A圖的結構,其具有蝕入介電堆疊中之一介電層以形成由金屬化溝槽組成之陣列的圖案;以及第17圖的透視圖根據本發明圖示第16圖的結構,其具有一金屬層,該金屬層被設置及平坦化於該等金屬化溝槽中以形成由交替心軸及非心軸金屬線組成之一陣列與一ANA區域。
此時描述一些示範具體實施例供整體了解揭示於本文的方法、系統及裝置之結構、功能、製造及用途的原理。這些具體實施例的一或更多實施例圖示於附圖。熟諳此藝者應瞭解,具體描述於本文及圖示於附圖的方法、系統及裝置均為非限定性示範具體實施例而且本發明的範疇單獨由申請專利範圍界定。在說明一示範具體實施例時所圖示及描述的特徵可與其他具體實施例的特徵結合。此類修改及變更旨在包括在本發明的範疇內。
第1圖至第17圖根據本發明圖示在積體電路中形成ANA區域之方法的各種示範具體實施例。
請參考第1圖,其根據本發明圖示用於積體電路裝置之結構100在中間製造階段時的示範具體實施例。結構100包括各自設置在介電堆疊102上方的第一硬 遮罩層104、中介層106、第二硬遮罩層108及心軸層110。第一硬遮罩層104可由氮化鈦(TiN)或類似者構成。中介層106可由旋塗式硬遮罩(spin-on-hardmask,SOH)構成,通常其係由非晶碳或類似者構成。第二硬遮罩層108可由氮化矽(SiN)或類似者構成。心軸層110可由非晶矽(a-Si)或類似者構成。
介電堆疊102可包括由數層組成的許多不同組合,這取決於諸如應用要求、成本、設計偏好之類的因素。在此示範具體實施例中,介電堆疊102包括氮氧化矽介電層(SiON介電層)112,其設置於低介電常數(k)層114上方,例如由矽、碳、氧及氫(SiCOH層)之各種組合構成的介電層。低k介電層114設置在也被包括在介電堆疊102中的蝕刻終止層116(例如氮化矽(SiN)層)上方。
介電堆疊102設置在由埋藏層118從基板(未圖示)向上疊成的複雜堆疊上方。埋藏層118也可包括其他蝕刻終止層及金屬化層(未圖示)。
請參考第2圖,下一步是要設置心軸微影堆疊120於心軸層110上。心軸微影堆疊120可由數個不同種類的層構成,這取決於諸如應用要求、設計或專屬偏好之類的參數。由數層組成的一堆疊包括由4個薄膜組成的堆疊,其包括(從上到下)抗蝕劑層(resist layer)128、底部抗反射塗層(BARC)126、第二SiON介電層124及第二旋塗式硬遮罩(SOH)層122。此SOH層122通常由非晶碳製成。一旦心軸堆疊120設置在心軸層110上方,心軸遮罩(未圖示) 便通過習知微影技術用來圖案化及印製由心軸132組成的平行陣列130於心軸微影堆疊120的抗蝕劑層128中。
請參考第3圖,然後用各種習知製程向下蝕刻及修整心軸132以使心軸132的寬度(用箭頭134表示)在此實施例中實質減到20奈米且使心軸向下轉移到心軸層110中。在此加工流程階段,間距136(亦即,積體電路上的重覆特徵之間的距離)在此示範具體實施例中實質設定在80奈米。心軸132最終會用來在低k介電層114中形成由交替的心軸金屬線184與非心軸金屬線186組成的陣列180(第17圖最清楚)。
為了清楚起見,本文中諸如間隔體、溝槽、心軸之類從原始特徵向下蝕刻(亦即,形成或圖案化)而成的任何特徵如果與原始特徵具有相同的形式及形態時會被稱為原始特徵。不過,眾所周知,被向下蝕刻的特徵會是原始特徵的轉移並且會包含涉及蝕刻製程之各層的殘餘物。更特別的是,在心軸132的情形下,原始心軸132被蝕入且可包含抗蝕劑層128及BARC層126(第2圖清楚可見)。不過,一旦心軸132已被向下蝕刻且轉移到心軸層110中,心軸132可主要由心軸層110的材料構成(第3圖清楚可見)。
請參考第4圖,貝他微影堆疊138設置在結構100上方。接下來,也被稱為心軸線截切遮罩的第一截切遮罩(未圖示)用來圖案化及印製貝他開口140及大得多的貝他ANA開口142進入堆疊138之抗蝕劑層144的預定 位置。
在此示範具體實施例中,貝他ANA開口142在Y方向(平行於心軸)及X方向(垂直於心軸)延伸。貝他ANA開口142通常有50至100奈米寬且可能有數百奈米(nm)長或更多。相比之下,貝他開口140在Y方向約有20奈米寬且小於在X方向有80奈米長的間距136。同樣地,貝他ANA開口142,以及最終ANA區域可延伸越過心軸132在X及Y方向的整個陣列130。
儘管此具體實施例顯示具有貝他ANA開口142在X及Y方向延伸且也具有數個貝他開口140的結構100,然而熟諳此藝者會明白,也可用第一截切遮罩將貝他ANA開口與貝他開口的其他組合圖案化至抗蝕劑層144中。亦即,貝他ANA開口142可只在X方向或者是Y方向延伸,或相對於X及Y方向可呈銳角延伸。另外,貝他ANA開口可具有比圖示更複雜的形狀。此外,可完全不圖案化貝他開口140於抗蝕劑層144中。貝他開口140最終會用來在設置於低k介電層114中由交替的心軸金屬線及非心軸金屬線184、186組成之陣列180的選定心軸線184中形成心軸線截切188(第17圖清楚可見)。
請參考第5圖,一旦微影製程完成以及使貝他開口140及貝他ANA開口142凹入抗蝕劑層144,例如反應性離子蝕刻(RIE)的非等向性蝕刻製程用來選擇性向下蝕刻貝他開口140以形成設置在陣列130之心軸132中的貝他溝槽146。同一個非等向性蝕刻製程也用來向下蝕刻及平移貝他ANA開口142以圖案化設置在心軸層110中的ANA溝槽148。貝他溝槽146最終會被進一步向下圖案化到低k介電層114以形成前述心軸線截切188。ANA溝槽148會被向下圖案化以在低k介電層114中形成ANA區域182(第17圖清楚可見)。
請參考第6圖,伽瑪微影堆疊150設置在結構100上方。接下來,也稱為非心軸線截切遮罩的第二截切遮罩(未圖示)用來圖案化及印製伽瑪開口152及大得多的伽瑪ANA開口154進入堆疊150之抗蝕劑層156的預定位置。
重要的是,應注意,伽瑪ANA開口154直接上覆目前在此加工流程階段設置在心軸層110中的整個ANA溝槽148。伽瑪ANA開口154的大小及形狀也與ANA溝槽148實質相同。
伽瑪開口152在第二硬遮罩層108及中介層106位在心軸132之間的區域上方延伸。伽瑪開口152與貝他開口140有相同的數量級尺寸,因而遠小於伽瑪ANA開口154。
儘管此具體實施例顯示具有數個伽瑪開口152的結構100,然而熟諳此藝者會明白,用第二截切遮罩可在抗蝕劑層156中圖案化任意複數個伽瑪開口152。或者,可完全不圖案化伽瑪開口152於抗蝕劑層156中。伽瑪開口152最終會用來在設置於低k介電層114中由交替的心軸金屬線及非心軸金屬線184、186組成之陣列180的選定非心軸線186中形成非心軸線截切190(第17圖清楚可見)。
請參考第7A圖及第7B圖,接下來,例如反應性離子蝕刻(RIE)的非等向性蝕刻製程利用伽瑪ANA開口154以從心軸層110向下轉移ANA溝槽148,越過第二硬遮罩層108並且到中介層106中。蝕刻製程在第一硬遮罩層104被暴露作為ANA溝槽148之底部時停止。
同一個非等向性蝕刻製程也用來向下蝕刻伽瑪開口152以在心軸層110之心軸132之間形成伽瑪溝槽158於中介層106中。如同ANA溝槽148,伽瑪溝槽158被向下蝕刻到第一硬遮罩層104。
請參考第8圖,接下來,具有實質均勻間隔體層厚度的間隔體層160設置在結構100上方。在此實施例中,間隔體層160為共形塗在整個結構100上的氮化矽(SiN)薄膜。不過,該間隔體層也可為其他適當材料,例如另一氮化物、氧化物、例如氧化鈦(TiO2)、或類似者。
重要的是,應注意,間隔體層160填充狹窄的貝他溝槽146及伽瑪溝槽158而不填充較寬的ANA溝槽148。因此,貝他溝槽填料(beta trench plug)162形成於在X方向延伸橫向越過心軸132的貝他溝槽146中。再者,伽瑪溝槽填料164形成於沿著X方向在心軸之間橫向延伸的伽瑪溝槽158中。
對比之下,由於寬度及長度較大,所以不填塞ANA溝槽148。反而,間隔體層160共形塗上ANA溝 槽148的底面(亦即,第一硬遮罩層104的頂面)而不填充或填塞ANA溝槽148。
間隔體層160可用可精確控制間隔體層厚度的沉積製程鋪設,例如原子層沉積(ALD)製程。在此具體實施例中,間隔體層厚度被控制至實質20奈米。
請參考第9圖,例如用RIE製程非等向性蝕刻間隔體層160,以暴露第一硬遮罩層104在ANA溝槽內的頂面以及暴露心軸132的上表面。貝他溝槽146仍填塞有貝他溝槽填料162,以及伽瑪溝槽158仍填塞有伽瑪溝槽填料164。
此外,該非等向性蝕刻製程形成由心軸132側壁上及ANA溝槽148側壁上之第一間隔體166組成的陣列。由於該非等向性蝕刻製程只在垂直方向蝕刻,所以剩餘的第一間隔體有實質等於20奈米之原始間隔體層厚度的間隔體寬度。另外,由於間隔體166之間的間隔由心軸132側壁的現存結構界定,因此間隔體166視為在X方向自對準。
如本文將更詳細地解釋的,貝他及伽瑪溝槽填料162、164的形成顛倒貝他及伽瑪溝槽146、158的幾何,這使得有可能形成心軸及非心軸線截切188、190(第17圖清楚可見)。ANA溝槽148的形成會用來形成ANA區域,但是在此加工流程階段,ANA溝槽沒有被顛倒。反而,由於用於形成間隔體166所需的蝕刻製程而在ANA溝槽148底部暴露第一硬遮罩層104。因此,為了在形成ANA 區域時利用ANA溝槽148,所以在不塗覆結構100中的任何其他特徵下,暴露的第一硬遮罩層104必須再塗上犧牲材料。
請參考第10圖,有機平坦化層(OPL)設置在整個結構100上方。該OPL可使用旋塗式沉積製程沉積。
該OPL最好具有某些性質。例如,該OPL為犧牲材料,使得它應該為可輕易移除而不損傷周遭結構的材料。該OPL應有良好的“填隙(gap-fill)”性質使得它可填充長寬比為3或更大的溝槽。該OPL應能自我平坦化。再者,該OPL應由對於間隔體166材料(在此實施例中,為SiN)、中介層106材料(在此實施例中,為非晶碳)及心軸材料(在此實施例中,為a-Si)容易選擇性蝕刻的材料構成。為了滿足這些性質,該OPL應為有機材料,例如抗蝕劑材料,除中介層材料以外的另一非晶碳,或類似者。有這些性質的較佳材料之一是由霍尼韋爾國際公司製造且註冊商標為“DUOTM”。
請參考第11A圖及第11B圖,以受控方式回蝕OPL 168,例如用RIE製程。OPL 168被回蝕成它只設置在ANA溝槽148中,使得OPL 168的頂面170低於第二硬遮罩層108且最好低於第二硬遮罩層的底面172。
能夠使OPL 168以受控方式回凹成低於第二硬遮罩層108之高度的必要條件也是決定中介層106之厚度174的主要因素。亦即,中介層106必須具有足夠厚的預定厚度174而能夠以受控方式蝕刻OPL,使得:a.除了在ANA溝槽148的以外,從整個結構100移除OPL;b. OPL 168的頂面170低於第二硬遮罩層108的底面172;以及c.不暴露第一硬遮罩層104在ANA溝槽148底部的部份。
中介層106的厚度174也必須夠厚以考慮到在蝕刻製程期間由製造及製程公差引起的安全因素。
請參考第12A圖及第12B圖,選擇性蝕刻去掉a-Si心軸132,只留下間隔體166、貝他溝槽填料162、伽瑪溝槽填料164、填塞OPL 168的ANA溝槽148、以及第二硬遮罩層108的暴露部份。蝕刻製程的結果是陣列180的圖案176(第17圖清楚可見)在第二硬遮罩層108上方浮現。
請參考第13A圖及第13B圖,用RIE製程非等向性蝕刻去掉第二硬遮罩層108。因為,在此實施例中,第二硬遮罩層108及間隔體166由相同的材料(SiN)構成,必須小心控制該蝕刻製程,藉此蝕刻第二硬遮罩層108以暴露中介層106而不蝕刻去掉間隔體166。這可做到,因為比第二硬遮罩層108高的間隔體166是厚的。因此,在蝕刻製程打穿第二硬遮罩層108到中介層106時,會使間隔體166凹陷但是不會移除它。
請參考第14A圖及第14B圖,接下來,非等向性蝕刻去掉中介層106的SOH材料以暴露第一硬遮罩 層104。這也可用RIE製程完成。
請參考第15A圖及第15B圖,非等向性蝕刻去掉第一硬遮罩層104的TiN以使圖案176直接設置在第一硬遮罩層104中以及在介電堆疊102的SION介電層112上方。這也可用RIE製程完成。
此形成圖案176方法的附加效益是:可用單一整合蝕刻製程完成移除心軸132、第二硬遮罩層108、中介層106及第一硬遮罩層104的順序選擇性蝕刻。亦即,通過習知RIE技術,RIE製程的反應性電漿成分在穿透心軸132、第二硬遮罩層108、中介層106及第一硬遮罩層104時可被改變,以在位於介電堆疊102頂端的SION介電層112處停止。
請參考第16圖,用習知製程剝掉在TiN第一硬遮罩層104上面的材料。然後,非等向性蝕刻製程利用圖案176在介電堆疊的低k介電層114中形成金屬化溝槽177。
請參考第17圖,金屬層178已設置在結構100上方且向下平坦化以暴露金屬陣列180。金屬陣列180包括與非心軸金屬線186交替的複數個心軸金屬線184。如在說明第3圖時所述,利用在心軸微影堆疊120上的一心軸遮罩,形成心軸及非心軸金屬線184、186。
選擇有橫向越過它們而延伸之心軸線截切188的心軸金屬線184。如在說明第5圖時所述,利用在貝他微影堆疊138上的單一第一截切遮罩,形成心軸線截切188。
選擇有橫向越過它們而延伸之非心軸線截切190的非心軸線186。如在說明第7圖時所述,利用在伽瑪微影堆疊150上的單一第二截切遮罩,形成非心軸線截切190。
利用第一及第二截切遮罩形成ANA區域182是有利的。沒有附加截切遮罩用來製作該ANA區域。結果,避免附加截切遮罩的成本以及與使用附加截切遮罩有關的相關微影疊置問題(lithographic overlay problem)。
儘管已參考特定具體實施例描述本發明,然而應瞭解,在所述本發明概念的精神及範疇內可做出許多改變。相應地,希望本發明不受限於所述具體實施例,而是具有用以下請求項語言界定的完整範疇。

Claims (20)

  1. 一種製造半導體裝置之方法,該方法包含:提供一結構,其具有各自設置於介電堆疊上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層;用一心軸遮罩圖案化由數個心軸組成之陣列於該心軸層中;用一第一截切遮罩圖案化一ANA溝槽於該心軸層中;用一第二截切遮罩圖案化該ANA溝槽於該中介層中;設置一有機平坦化層(OPL)於該結構上方;蝕刻該OPL以只設置該OPL於該ANA溝槽中使得該OPL的頂面低於該第二硬遮罩層;蝕刻該結構以在該介電堆疊的介電層中形成一圖案;以及從在該介電層中的該圖案形成由數條交替心軸及非心軸金屬線組成的一陣列,由該ANA溝槽形成的該圖案之一部份在該介電層內形成一ANA區域。
  2. 如申請專利範圍第1項所述之方法,包含:在圖案化該ANA溝槽於該中介層中後,設置一間隔體層於該結構上方;以及蝕刻該間隔體層以形成由設置在該等心軸之側壁上之間隔體組成的一陣列,暴露在該心軸層中之該等心軸的正面,以及暴露在該ANA溝槽之底部的該第一硬遮罩層。
  3. 如申請專利範圍第1項所述之方法,包含:用該第一截切遮罩形成一貝他溝槽於該心軸層的心軸中;利用該貝他溝槽形成該圖案的一部份;以及從該圖案中由該貝他溝槽形成的該部份,形成一心軸線截切於該金屬線陣列的一心軸線中。
  4. 如申請專利範圍第1項所述之方法,包含:用該第二截切遮罩在該心軸層的心軸之間形成一伽瑪溝槽於該中介層中;利用該伽瑪溝槽形成該圖案的一部份;以及從該圖案中由該伽瑪溝槽形成的該部份,形成一非心軸線截切於該金屬線陣列的一非心軸線中。
  5. 如申請專利範圍第1項所述之方法,其中,該ANA區域延伸穿過該金屬線陣列的該等心軸及非心軸金屬線。
  6. 如申請專利範圍第1項所述之方法,其中,蝕刻該OPL的步驟包含:對於該等心軸、中介層及該等間隔體,選擇性地蝕刻該OPL。
  7. 如申請專利範圍第1項所述之方法,其中,該中介層有一預定厚度,其厚到足以使該OPL能以受控方式蝕刻,使得:除了在該ANA溝槽的以外,可從整個該結構移除該OPL,該OPL之該頂面低於該第二硬遮罩層的底面,以及不暴露該第一硬遮罩層在該ANA溝槽之底部的部份。
  8. 如申請專利範圍第1項所述之方法,其中,該中介層為一旋塗式硬遮罩。
  9. 如申請專利範圍第2項所述之方法,包含:移除該等心軸以暴露該第二硬遮罩層;以及選擇性蝕刻該第二硬遮罩層以暴露該中介層而不蝕刻去掉該等間隔體。
  10. 如申請專利範圍第9項所述之方法,包含:選擇性蝕刻該中介層以暴露該第一硬遮罩層而不蝕刻去掉該等間隔體及OPL,該等間隔體、OPL及第一硬遮罩層形成該圖案;以及非等向性蝕刻該圖案於該介電堆疊的該介電層中。
  11. 一種製造半導體裝置之方法,該方法包含:提供一結構,其具有各自設置於介電堆疊上方的第一硬遮罩層、中介層、第二硬遮罩層及心軸層;用一心軸遮罩圖案化由數個心軸組成之一陣列於該心軸層中;用一第一截切遮罩圖案化一貝他溝槽及一ANA溝槽於該心軸層中;用一第二截切遮罩圖案化一伽瑪溝槽及該ANA溝槽於該中介層中;設置有機平坦化層(OPL)於該結構上方;蝕刻該OPL以只設置該OPL於該ANA溝槽中;蝕刻該結構以在該介電堆疊中形成一圖案;以及從在該介電堆疊中的該圖案形成由數條金屬線組成的一陣列,該圖案中由該ANA溝槽形成的一部份在該介電堆疊內形成一ANA區域。
  12. 如申請專利範圍第11項所述之方法,包含:蝕刻該OPL使得該OPL的頂面低於該第二硬遮罩層。
  13. 如申請專利範圍第11項所述之方法,包含:蝕刻該結構以在該介電堆疊的介電層中形成該圖案;以及從在該介電層中的該圖案形成由數條交替心軸及非心軸金屬線組成的陣列,該圖案中由該ANA溝槽形成的該部份在該介電層中形成該ANA區域。
  14. 如申請專利範圍第13項所述之方法,其係包含下列步驟:利用該貝他溝槽形成該圖案的一部份;利用該伽瑪溝槽形成該圖案的一部份;從該圖案中由該貝他溝槽形成的該部份,在該金屬線陣列的一心軸線中形成一心軸線截切;以及從該圖案中由該伽瑪溝槽形成的該部份,形成一非心軸線截切於該金屬線陣列的一非心軸線中。
  15. 如申請專利範圍第11項所述之方法,包含:在圖案化該ANA溝槽於該中介層中後,設置一間隔體層於該結構上方;以及蝕刻該間隔體層以形成由設置在該等心軸之側壁上及該ANA溝槽之側壁上之間隔體組成的一陣列,暴露在該心軸層中之該等心軸的頂面,以及暴露在該ANA溝槽之底部的該第一硬遮罩層。
  16. 如申請專利範圍第11項所述之方法,其中,蝕刻該OPL的步驟包含:相對於該等心軸及該等間隔體,選擇性蝕刻該OPL。
  17. 如申請專利範圍第11項所述之方法,其中,該中介層有一預定厚度,其厚到足以使該OPL能以受控方式蝕刻,使得:除了在該ANA溝槽的以外,可從整個該結構移除該OPL,該OPL之該頂面低於該第二硬遮罩層的底面,以及不暴露該第一硬遮罩層在該ANA溝槽之底部的部份。
  18. 如申請專利範圍第11項所述之方法,其中,該中介層為一旋塗式硬遮罩。
  19. 如申請專利範圍第15項所述之方法,包含:移除該等心軸以暴露該第二硬遮罩層;以及選擇性蝕刻該第二硬遮罩層以暴露該中介層而不蝕刻去掉該等間隔體。
  20. 如申請專利範圍第19項所述之方法,包含:選擇性蝕刻該中介層以暴露該第一硬遮罩層而不蝕刻去掉該等間隔體及OPL,該等間隔體、OPL及第一硬遮罩層形成該圖案;以及非等向性蝕刻該圖案於該介電堆疊的一介電層中。
TW106116867A 2016-09-21 2017-05-22 在積體電路中形成ana區域之方法 TWI660424B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/271,519 2016-09-21
US15/271,519 US9786545B1 (en) 2016-09-21 2016-09-21 Method of forming ANA regions in an integrated circuit

Publications (2)

Publication Number Publication Date
TW201826376A TW201826376A (zh) 2018-07-16
TWI660424B true TWI660424B (zh) 2019-05-21

Family

ID=59981418

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106116867A TWI660424B (zh) 2016-09-21 2017-05-22 在積體電路中形成ana區域之方法

Country Status (3)

Country Link
US (1) US9786545B1 (zh)
CN (1) CN107863295B (zh)
TW (1) TWI660424B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US10395926B1 (en) 2018-04-17 2019-08-27 Globalfoundries Inc. Multiple patterning with mandrel cuts formed using a block mask
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method
US10903082B2 (en) 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
CN111640658B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
EP3840034B1 (en) 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US20150140811A1 (en) * 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-Damage-Free Etching

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4339946B2 (ja) 1999-01-08 2009-10-07 パナソニック株式会社 半導体装置の製造方法
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100615575B1 (ko) 2004-09-10 2006-08-25 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 배치 방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US8802451B2 (en) 2008-02-29 2014-08-12 Avalanche Technology Inc. Method for manufacturing high density non-volatile magnetic memory
US7969776B2 (en) 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
JP6087506B2 (ja) 2012-01-31 2017-03-01 キヤノン株式会社 描画方法及び物品の製造方法
US9252021B2 (en) 2012-02-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for Fin-like field-effect transistor (FinFET) devices
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
KR20140008863A (ko) 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
US8883646B2 (en) 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
CN103633029B (zh) 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8869090B2 (en) 2013-03-11 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US8932957B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9023695B2 (en) 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning features of a semiconductor device
US9240346B2 (en) 2013-03-14 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning method
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9165770B2 (en) 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9209076B2 (en) 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9257282B2 (en) 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123656B1 (en) 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9263325B1 (en) 2014-08-20 2016-02-16 Globalfoundries Inc. Precut metal lines
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9209279B1 (en) 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
KR20160034492A (ko) 2014-09-19 2016-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용하여 형성된 반도체 소자
US9530637B2 (en) 2014-10-05 2016-12-27 Applied Materials, Inc. Fin structure formation by selective etching
JP6249970B2 (ja) * 2015-01-30 2017-12-20 東京エレクトロン株式会社 半導体装置の製造方法
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9406775B1 (en) 2015-04-27 2016-08-02 Globalfoundries Inc. Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US20150140811A1 (en) * 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-Damage-Free Etching

Also Published As

Publication number Publication date
CN107863295A (zh) 2018-03-30
TW201826376A (zh) 2018-07-16
CN107863295B (zh) 2021-07-30
US9786545B1 (en) 2017-10-10

Similar Documents

Publication Publication Date Title
TWI660424B (zh) 在積體電路中形成ana區域之方法
TWI657503B (zh) 在金屬線之陣列之心軸及非心軸線中形成自對準切口的設備及方法
TWI644394B (zh) 在金屬線之陣列之非心軸線中形成自對準切口的設備及方法
US9852986B1 (en) Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
TWI634593B (zh) 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
US9613903B2 (en) Fine line space resolution lithography structure for integrated circuit features using double patterning technology
KR20080043861A (ko) 피치 다중 콘택트 형성 방법
US20130193489A1 (en) Integrated circuits including copper local interconnects and methods for the manufacture thereof
CN107393866B (zh) 用于在集成电路中的互连线及相关连续性区块形成图案的方法
US10991596B2 (en) Semiconductor structure and method for forming same
CN113782487A (zh) 半导体结构及其形成方法
US9691626B1 (en) Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions
JP2004179659A (ja) 集積回路におけるダマシン金属導体のためのビア形成
TW201732930A (zh) 半導體裝置之形成方法
JP2000223492A (ja) 多層配線を有する半導体装置の製造方法
CN112928057B (zh) 半导体结构及其形成方法
CN112786525A (zh) 半导体器件及其形成方法
TW201939669A (zh) 線圖案化期間具自對準之先裁切方法
EP3840034B1 (en) Method for producing nanoscaled electrically conductive lines for semiconductor devices
CN113745152B (zh) 半导体结构及其形成方法
US11101170B2 (en) Dual airgap structure
CN114220791A (zh) 互连结构及其制作方法、存储器
CN103545246B (zh) 半导体结构的形成方法
KR20110001528A (ko) 반도체 장치 제조 방법
KR19980055917A (ko) 반도체 소자의 플러그 형성방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees