CN107863295A - 在集成电路中形成ana区域的方法 - Google Patents

在集成电路中形成ana区域的方法 Download PDF

Info

Publication number
CN107863295A
CN107863295A CN201710858126.6A CN201710858126A CN107863295A CN 107863295 A CN107863295 A CN 107863295A CN 201710858126 A CN201710858126 A CN 201710858126A CN 107863295 A CN107863295 A CN 107863295A
Authority
CN
China
Prior art keywords
layer
mandrel
ana
opl
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710858126.6A
Other languages
English (en)
Other versions
CN107863295B (zh
Inventor
古拉密·波奇
杰森·伊葛尼·史蒂芬
金炳烨
艾克·麦克·奇尔德二世
布瑞斯·纳拉辛哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN107863295A publication Critical patent/CN107863295A/zh
Application granted granted Critical
Publication of CN107863295B publication Critical patent/CN107863295B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及在集成电路中形成ANA区域的方法,其中,一种方法包括提供一结构,其具有各自设置于介电堆栈上方的第一硬掩模层、中介层、第二硬掩模层及心轴层。用一心轴掩模将由数个心轴组成的阵列图案化于该心轴层中。用第一截切掩模图案化一ANA沟槽于该心轴层中。用第二截切掩模图案化该ANA沟槽于该中介层中。设置一有机平坦化层(OPL)于该结构上方。蚀刻该OPL以只在该ANA沟槽中设置它,使得该OPL的顶面低于该第二硬掩模层。蚀刻该结构以在该介电堆栈的一介电层中形成一图案,从而在该介电层中形成由数条金属线组成的阵列,该图案中由该ANA沟槽形成的一部分在该介电层内形成一ANA区域。

Description

在集成电路中形成ANA区域的方法
技术领域
本发明有关于半导体装置及其制法。更特别的是,本发明关于在集成电路中形成ANA区域的方法。
背景技术
自对准双图案化(SADP)技术目前使用于超高密度集成电路的后段工艺(BOEL)建造以提供一种电气互连系统,其包括设置在数个层次的介电层中的多个平行金属线阵列。该介电层通常通过金属化通孔的系统互连。照惯例,在金属线阵列内,对于金属线是在纵向的方向指定为“Y”方向以及对于金属线垂直或在横向的方向指定为“X”方向。
此类SADP技术通常涉及使用光刻掩模(本文指定为“心轴掩模”)在硬掩模层(hardmask layer)的顶面上图案化及印制由纵向延伸的平行心轴组成的阵列。然后,在每个心轴的两个侧壁上形成一对自对准间隔体。
心轴与一对关连间隔体的每个组合被硬掩模层中没有任何上覆心轴或间隔体的暴露平行部分分离。心轴向下图案化至集成电路的介电层中以形成心轴金属线。硬掩模层的暴露部分也向下图案化至介电层中以形成非心轴金属线。因此,在使用SADP工艺形成的互连系统中,每个平行金属线阵列会包括交替的心轴及非心轴金属线,它们分开的距离等于自对准间隔体的宽度。
为了在集成电路中提供在诸如晶体管、电容器之类的装置之间的机能,必须在特定位置将多条截切(cut)光刻图案化成为阵列的心轴及非心轴金属线以引导电流在介电层、装置之间流动。另一光刻掩模(本文指定为“心轴线截切掩模(mandrel line cutmask)”或“第一截切掩模”)通常用来将此类心轴截切图案化成为心轴金属线。又一光刻掩模(本文指定为“非心轴线截切掩模”或“第二截切掩模”)也通常用来将此类非心轴截切图案化成为非心轴金属线。
因此,用于图案化集成电路的复杂互连系统中的金属线阵列的典型SADP工艺需要至少3种掩模:心轴掩模、心轴线截切掩模及非心轴线截切掩模。此类掩模的开发及使用需要复杂先进的技术,特别是,在以光刻方式印制属于例如14纳米(nm)类及以下的技术类尺寸的极小特征时。由于此类掩模的开发及使用与大成本有关,因此最好维持最少的掩模数。
不过,通常需要在运行的逻辑区块之间提供相对大的平面过渡区域,其中可能完全没有装置或线路。这些过渡区域常称为“ANA区域”(也习称“白区域”或“缓冲区域”)且通常有50至100纳米(nm)宽及数百纳米长或更多。ANA区域通常在Y方向(与在ANA区域平面中的金属线阵列平行)纵向延伸或在X方向(与在ANA区域平面中的金属线阵列垂直)纵向延伸。不过,ANA区域可在数个不同方向延伸且可能有复杂的形状。
ANA区域用来提供不同逻辑区块之间的必要分离,例如中央处理单元(CPU)区块、静态随机存取内存(SRAM)区块或其类似者,在复杂集成电路的制造过程期间,彼等由各种不同团队设计。可能要求ANA区域能:防止区块之间的电磁干扰,排除区块之间的感应电流,防止区块之间的热传递,为了安全提供区块之间的缓冲空间或其类似者。
可惜,当前习知制造方法需要至少一个附加ANA掩模,且有时需要数个不同的ANA掩模,以在集成电路上形成ANA区域。除了心轴掩模以外,这些ANA掩模为在SADP技术里用来在集成电路的互连系统中形成金属线的第一截切掩模及第二截切掩模。每个附加ANA掩模会大幅增加集成电路设计的成本及劳力。
因此,亟须一种用最少的ANA掩模在集成电路上形成ANA区域的方法。另外,需要排除使用只专用于在集成电路中形成ANA区域的掩模。此外,需要一种只用第一截切掩模及第二截切掩模就可结合ANA区域的形成与金属线截切的形成的方法。
发明内容
本发明通过提供一种优于且可替代先前技术的方法,其只用第一及第二截切掩模来形成ANA区域。没有使用其他截切掩模,因此避免使用用于形成ANA区域的附加掩模的附加成本及光刻公差问题。
根据本发明的一或更多态样,一种方法包括提供一结构,其具有各自设置于介电堆栈(dielectric stack)上方的第一硬掩模层、中介层、第二硬掩模层及心轴层。用一心轴掩模图案化由数个心轴组成的阵列于该心轴层中。用第一截切掩模图案化一ANA沟槽于该心轴层中。用第二截切掩模图案化该ANA沟槽于该中介层中。设置一有机平坦化层(OPL)于该结构上方。蚀刻该OPL以只在该ANA沟槽中设置该OPL,使得该OPL的顶面低于该第二硬掩模层。蚀刻该结构以在该介电堆栈的介电层中形成一图案。从在该介电层中的该图案,形成由交替心轴及非心轴金属线组成的阵列,由该ANA沟槽形成的该图案的一部分在该介电层内形成一ANA区域。
在本发明的另一态样中,一种方法包括提供一结构,其具有各自设置于介电堆栈上方的第一硬掩模层、中介层、第二硬掩模层及心轴层。用一心轴掩模图案化由数个心轴组成的阵列于该心轴层中。用第一截切掩模图案化一贝塔沟槽及一ANA沟槽于该心轴层中。用第二截切掩模图案化一伽马沟槽及该ANA沟槽于该中介层中。设置一有机平坦化层(OPL)于该结构上方。蚀刻该OPL以只设置该OPL于该ANA沟槽中。蚀刻该结构以在该介电堆栈中形成一图案。从在该介电堆栈中的该图案形成由数条金属线组成的阵列,该图案中由该ANA沟槽形成的一部分在该介电堆栈内形成一ANA区域。
附图说明
由以下结合附图的详细说明可更加明白本发明。
图1的简化侧视图根据本发明图标用于集成电路装置的结构的示范具体实施例,它在中间制造阶段有各自设置在介电堆栈上方的第一硬掩模层、中介层、第二硬掩模层及心轴层;
图2的侧视图根据本发明图标图1的结构的示范具体实施例,其具有被图案化于其上的数个心轴的第一心轴光刻堆栈;
图3的侧视图图标图2的结构的示范具体实施例,其具有被图案化于该心轴层中的数个心轴;
图4的透视图根据本发明图标图3的结构的示范具体实施例,其具有被图案化于其中的数个贝塔开口及一贝塔ANA开口的贝塔光刻堆栈;
图5的透视图根据本发明图标图4的结构的示范具体实施例,其具被图案化于心轴层110中的数个贝塔沟槽及一ANA沟槽;
图6的透视图根据本发明图标图5的结构的示范具体实施例,其具有被图案化于其中的数个伽马开口及一伽马ANA开口的一伽马光刻堆栈;
图7A的透视图根据本发明图标图6的结构的示范具体实施例,其具被图案化于该中介层中的数个伽马沟槽及该ANA沟槽;
图7B为图7A的顶部透视图;
图8的透视图根据本发明图示图7A的结构,其具有设置于其上的一间隔体层;
图9的透视图根据本发明图标图8的结构,其具有受各向异性蚀刻的该间隔体层;
图10的透视图根据本发明图标图9的结构,其具有设置于其上的一有机平坦化层(OPL);
图11A的透视图根据本发明图标图10的结构,其具有只蚀入ANA沟槽的OPL层;
图11B为图11A的顶部透视图;
图12A的透视图根据本发明图示图11A的结构,其具有受各向异性蚀刻去掉以形成图案的心轴;
图12B为图12A的顶部透视图;
图13A的透视图根据本发明图示图12A的结构,其具有第二硬掩模层中被蚀刻去掉的暴露部分;
图13B为图13A的顶部透视图;
图14A的透视图根据本发明图示图13A的结构,其具有中介层中受各向异性蚀刻去掉的暴露部分;
图14B为图14A的顶部透视图;以及
图15A的透视图根据本发明图示图14A的结构,其具有第一硬掩模层中受各向异性蚀刻去掉的暴露部分;
图15B为图15A的顶部透视图;以及
图16的透视图根据本发明图示图15A的结构,其具有蚀入介电堆栈中的一介电层以形成由金属化沟槽组成的阵列的图案;以及
图17的透视图根据本发明图标图16的结构,其具有一金属层,该金属层被设置及平坦化于该金属化沟槽中以形成由交替心轴及非心轴金属线组成的一阵列与一ANA区域。
具体实施方式
此时描述一些示范具体实施例供整体了解揭示于本文的方法、系统及装置的结构、功能、制造及用途的原理。这些具体实施例的一或更多实施例图示于附图。本领域技术人员应了解,具体描述于本文及图示于附图的方法、系统及装置均为非限定性示范具体实施例而且本发明的范畴单独由权利要求书界定。在说明一示范具体实施例时所图标及描述的特征可与其他具体实施例的特征结合。此类修改及变更旨在包括在本发明的范畴内。
图1至图17根据本发明图示在集成电路中形成ANA区域的方法的各种示范具体实施例。
请参考图1,其根据本发明图标用于集成电路装置的结构100在中间制造阶段时的示范具体实施例。结构100包括各自设置在介电堆栈102上方的第一硬掩模层104、中介层106、第二硬掩模层108及心轴层110。第一硬掩模层104可由氮化钛(TiN)或类似者构成。中介层106可由旋涂式硬掩模(spin-on-hardmask,SOH)构成,通常其由非晶碳或类似者构成。第二硬掩模层108可由氮化硅(SiN)或类似者构成。心轴层110可由非晶硅(a-Si)或类似者构成。
介电堆栈102可包括由数层组成的许多不同组合,这取决于诸如应用要求、成本、设计偏好之类的因素。在此示范具体实施例中,介电堆栈102包括氮氧化硅介电层(SiON介电层)112,其设置于低介电常数(k)层114上方,例如由硅、碳、氧及氢(SiCOH层)的各种组合构成的介电层。低k介电层114设置在也被包括在介电堆栈102中的蚀刻终止层116(例如氮化硅(SiN)层)上方。
介电堆栈104设置在由埋藏层118从基板(未图示)向上迭成的复杂堆栈上方。埋藏层118也可包括其他蚀刻终止层及金属化层(未图示)。
请参考图2,下一步是要设置心轴光刻堆栈120于心轴层110上。心轴光刻堆栈120可由数个不同种类的层构成,这取决于诸如应用要求、设计或专属偏好之类的参数。由数层组成的一堆栈包括由4个薄膜组成的堆栈,其包括(从上到下)抗蚀剂层(resist layer)128、底部抗反射涂层(BARC)126、第二SiON介电层124及第二旋涂式硬掩模(SOH)层122。此SOH层122通常由非晶碳制成。一旦心轴堆栈120设置在心轴层110上方,心轴掩模(未图示)便通过习知光刻技术用来图案化及印制由心轴132组成的平行阵列130于心轴光刻堆栈120的抗蚀剂层128中。
请参考图3,然后用各种习知工艺向下蚀刻及修整心轴132以使心轴132的宽度(用箭头134表示)在此实施例中实质减到20纳米且使心轴向下转移到心轴层110中。在此加工流程阶段,间距136(亦即,集成电路上的重复特征之间的距离)在此示范具体实施例中实质设定在80纳米。心轴132最终会用来在低k介电层114中形成由交替的心轴金属线184与非心轴金属线186组成的阵列180(图17最清楚)。
为了清楚起见,本文中诸如间隔体、沟槽、心轴之类从原始特征向下蚀刻(亦即,形成或图案化)而成的任何特征如果与原始特征具有相同的形式及形态时会被称为原始特征。不过,众所周知,被向下蚀刻的特征会是原始特征的转移并且会包含涉及蚀刻工艺的各层的残余物。更特别的是,在心轴132的情形下,原始心轴132被蚀入且可包含抗蚀剂层128及BARC层126(图2清楚可见)。不过,一旦心轴132已被向下蚀刻且转移到心轴层110中,心轴132可主要由心轴层110的材料构成(图3清楚可见)。
请参考图4,贝塔光刻堆栈138设置在结构100上方。接下来,也被称为心轴线截切掩模的第一截切掩模(未图标)用来图案化及印制贝塔开口140及大得多的贝塔ANA开口142进入堆栈138的抗蚀剂层144的预定位置。
在此示范具体实施例中,贝塔ANA开口142在Y方向(平行于心轴)及X方向(垂直于心轴)延伸。贝塔ANA开口142通常有50至100纳米宽且可能有数百纳米(nm)长或更多。相比之下,贝塔开口140在Y方向约有20纳米宽且小于在X方向有80纳米长的间距136。同样地,贝塔ANA开口142,以及最终ANA区域可延伸越过心轴132在X及Y方向的整个阵列130。
尽管此具体实施例显示具有贝塔ANA开口142在X及Y方向延伸且也具有数个贝塔开口140的结构100,然而本领域技术人员会明白,也可用第一截切掩模将贝塔ANA开口与贝塔开口的其他组合图案化至抗蚀剂层144中。亦即,贝塔ANA开口142可只在X方向或者是Y方向延伸,或相对于X及Y方向可呈锐角延伸。另外,贝塔ANA开口可具有比图示更复杂的形状。此外,可完全不图案化贝塔开口140于抗蚀剂层144中。贝塔开口140最终会用来在设置于低k介电层114中由交替的心轴金属线及非心轴金属线184、186组成的阵列180的选定心轴线184中形成心轴线截切188(图17清楚可见)。
请参考图5,一旦光刻工艺完成以及使贝塔开口140及贝塔ANA开口142凹入抗蚀剂层144,例如反应性离子蚀刻(RIE)的各向异性蚀刻工艺用来选择性向下蚀刻贝塔开口140以形成设置在阵列130的心轴132中的贝塔沟槽146。同一个各向异性蚀刻工艺也用来向下蚀刻及平移贝塔ANA开口142以图案化设置在心轴层110中的ANA沟槽148。贝塔沟槽146最终会被进一步向下图案化到低k介电层114以形成前述心轴线截切184。ANA沟槽148会被向下图案化以在低k介电层114中形成ANA区域182(图17清楚可见)。
请参考图6,伽马光刻堆栈150设置在结构100上方。接下来,也称为非心轴线截切掩模的第二截切掩模(未图标)用来图案化及印制伽马开口152及大得多的伽马ANA开口154进入堆栈150的抗蚀剂层156的预定位置。
重要的是,应注意,伽马ANA开口154直接上覆目前在此加工流程阶段设置在心轴层110中的整个ANA沟槽148。伽马ANA开口154的大小及形状也与ANA沟槽148实质相同。
伽马开口152在第二硬掩模层108及中介层106位在心轴132之间的区域上方延伸。伽马开口152与贝塔开口140有相同的数量级尺寸,因而远小于伽马ANA开口154。
尽管此具体实施例显示具有数个伽马开口152的结构100,然而本领域技术人员会明白,用第二截切掩模可在抗蚀剂层156中图案化任意多个伽马开口152。或者,可完全不图案化伽马开口152于抗蚀剂层156中。伽马开口152最终会用来在设置于低k介电层114中由交替的心轴金属线及非心轴金属线184、186组成的阵列180的选定非心轴线186中形成非心轴线截切190(图17清楚可见)。
请参考图7A及图7B,接下来,例如反应性离子蚀刻(RIE)的各向异性蚀刻工艺利用伽马ANA开口154以从心轴层110向下转移ANA沟槽148,越过第二硬掩模层108并且到中介层106中。蚀刻工艺在第一硬掩模层104被暴露作为ANA沟槽148的底部时停止。
同一个各向异性蚀刻工艺也用来向下蚀刻伽马开口152以在心轴层110的心轴132之间形成伽马沟槽158于中介层106中。如同ANA沟槽148,伽马沟槽158被向下蚀刻到第一硬掩模层104。
请参考图8,接下来,具有实质均匀间隔体层厚度的间隔体层160设置在结构100上方。在此实施例中,间隔体层160为共形涂在整个结构100上的氮化硅(SiN)薄膜。不过,该间隔体层也可为其他适当材料,例如另一氮化物、氧化物、例如氧化钛(TiO2)、或类似者。
重要的是,应注意,间隔体层160填充狭窄的贝塔沟槽146及伽马沟槽158而不填充较宽的ANA沟槽148。因此,贝塔沟槽填料(beta trench plug)162形成于在X方向延伸横向越过心轴132的贝塔沟槽146中。再者,伽马沟槽填料164形成于沿着X方向在心轴之间横向延伸的伽马沟槽158中。
对比之下,由于宽度及长度较大,所以不填塞ANA沟槽148。反而,间隔体层160共形涂上ANA沟槽148的底面(亦即,第一硬掩模层104的顶面)而不填充或填塞ANA沟槽148。
间隔体层160可用可精确控制间隔体层厚度的沉积工艺铺设,例如原子层沉积(ALD)工艺。在此具体实施例中,间隔体层厚度被控制至实质20纳米。
请参考图9,例如用RIE工艺各向异性蚀刻间隔体层160,以暴露第一硬掩模层104在ANA沟槽内的顶面以及暴露心轴132的上表面。贝塔沟槽146仍填塞有贝塔沟槽填料162,以及伽马沟槽158仍填塞有伽马沟槽填料164。
此外,该各向异性蚀刻工艺形成由心轴132侧壁上及ANA沟槽148侧壁上的第一间隔体166组成的阵列。由于该各向异性蚀刻工艺只在垂直方向蚀刻,所以剩余的第一间隔体有实质等于20纳米的原始间隔体层厚度的间隔体宽度。另外,由于间隔体166之间的间隔由心轴132侧壁的现存结构界定,因此间隔体166视为在X方向自对准。
如本文将更详细地解释的,贝塔及伽马沟槽填料162、164的形成颠倒贝塔及伽马沟槽146、158的几何,这使得有可能形成心轴及非心轴线截切188、190(图17清楚可见)。ANA沟槽148的形成会用来形成ANA区域,但是在此加工流程阶段,ANA沟槽没有被颠倒。反而,由于用于形成间隔体166所需的蚀刻工艺而在ANA沟槽148底部暴露第一硬掩模层104。因此,为了在形成ANA区域时利用ANA沟槽148,所以在不涂覆结构100中的任何其他特征下,暴露的第一硬掩模层104必须再涂上牺牲材料。
请参考图10,有机平坦化层(OPL)设置在整个结构100上方。该OPL可使用旋涂式沉积工艺沉积。
该OPL最好具有某些性质。例如,该OPL为牺牲材料,使得它应该为可轻易移除而不损伤周遭结构的材料。该OPL应有良好的“填隙(gap-fill)”性质使得它可填充长宽比为3或更大的沟槽。该OPL应能自我平坦化。再者,该OPL应由对于间隔体166材料(在此实施例中,为SiN)、中介层106材料(在此实施例中,为非晶碳)及心轴材料(在此实施例中,为a-Si)容易选择性蚀刻的材料构成。为了满足这些性质,该OPL应为有机材料,例如抗蚀剂材料,除中介层材料以外的另一非晶碳,或类似者。有这些性质的较佳材料之一是由霍尼韦尔国际公司制造且注册商标为“DUOTM”。
请参考图11A及图11B,以受控方式回蚀OPL 168,例如用RIE工艺。OPL 168被回蚀成它只设置在ANA沟槽148中,使得OPL 168的顶面170低于第二硬掩模层108且最好低于第二硬掩模层的底面172。
能够使OPL 168以受控方式回凹成低于第二硬掩模层108的高度的必要条件也是决定中介层106的厚度174的主要因素。亦即,中介层106必须具有足够厚的预定厚度174而能够以受控方式蚀刻OPL,使得:
a.除了在ANA沟槽148的以外,从整个结构100移除OPL;
b.OPL 168的顶面170低于第二硬掩模层108的底面172;以及
c.不暴露第一硬掩模层104在ANA沟槽148底部的部分。
中介层106的厚度174也必须够厚以考虑到在蚀刻工艺期间由制造及工艺公差引起的安全因素。
请参考图12A及图12B,选择性蚀刻去掉a-Si心轴146,只留下间隔体166、贝塔沟槽填料162、伽马沟槽填料164、填塞OPL 168的ANA沟槽148、以及第二硬掩模层108的暴露部分。蚀刻工艺的结果是阵列180的图案176(图17清楚可见)在第二硬掩模层108上方浮现。
请参考图13A及图13B,用RIE工艺各向异性蚀刻去掉第二硬掩模层108。因为,在此实施例中,第二硬掩模层108及间隔体166由相同的材料(SiN)构成,必须小心控制该蚀刻工艺,藉此蚀刻第二硬掩模层108以暴露中介层106而不蚀刻去掉间隔体166。这可做到,因为比第二硬掩模层108高的间隔体166是厚的。因此,在蚀刻工艺打穿第二硬掩模层108到中介层106时,会使间隔体166凹陷但是不会移除它。
请参考图14A及图14B,接下来,各向异性蚀刻去掉中介层106的SOH材料以暴露第一硬掩模层104。这也可用RIE工艺完成。
请参考图15A及图15B,各向异性蚀刻去掉第一硬掩模层104的TiN以使图案176直接设置在第一硬掩模层104中以及在介电堆栈102的SION介电层112上方。这也可用RIE工艺完成。
此形成图案176方法的附加效益是:可用单一整合蚀刻工艺完成移除心轴132、第二介电层108、中介层106及第一硬掩模层104的顺序选择性蚀刻。亦即,通过习知RIE技术,RIE工艺的反应性电浆成分在穿透心轴132、第二硬掩模层108、中介层106及第一硬掩模层104时可被改变,以在位于介电堆栈102顶端的SION介电层116处停止。
请参考图16,用习知工艺剥掉在TiN第一硬掩模层104上面的材料。然后,各向异性蚀刻工艺利用图案176在介电堆栈的低k介电层114中形成金属化沟槽177。
请参考图17,金属层178已设置在结构100上方且向下平坦化以暴露金属阵列180。金属阵列180包括与非心轴金属线186交替的多条心轴金属线184。如在说明图3时所述,利用在心轴光刻堆栈120上的一心轴掩模,形成心轴及非心轴金属线184、186。
选择有横向越过它们而延伸的心轴线截切188的心轴金属线184。如在说明图5时所述,利用在贝塔光刻堆栈138上的单一第一截切掩模,形成心轴线截切188。
选择有横向越过它们而延伸的非心轴线截切190的非心轴线186。如在说明图7时所述,利用在伽马光刻堆栈150上的单一第二截切掩模,形成非心轴线截切190。
利用第一及第二截切掩模形成ANA区域182是有利的。没有附加截切掩模用来制作该ANA区域。结果,避免附加截切掩模的成本以及与使用附加截切掩模有关的相关光刻迭置问题(lithographic overlay problem)。
尽管已参考特定具体实施例描述本发明,然而应了解,在所述本发明概念的精神及范畴内可做出许多改变。相应地,希望本发明不受限于所述具体实施例,而是具有用以下请求项语言界定的完整范畴。

Claims (20)

1.一种方法,包含:
提供一结构,其具有各自设置于介电堆栈上方的第一硬掩模层、中介层、第二硬掩模层及心轴层;
用一心轴掩模图案化由数个心轴组成的阵列于该心轴层中;
用一第一截切掩模图案化一ANA沟槽于该心轴层中;
用一第二截切掩模图案化该ANA沟槽于该中介层中;
设置一有机平坦化层(OPL)于该结构上方;
蚀刻该OPL以只设置该OPL于该ANA沟槽中使得该OPL的顶面低于该第二硬掩模层;
蚀刻该结构以在该介电堆栈的介电层中形成一图案;以及
从在该介电层中的该图案形成由数条交替心轴及非心轴金属线组成的一阵列,由该ANA沟槽形成的该图案的一部分在该介电层内形成一ANA区域。
2.如权利要求1所述的方法,包含:
在图案化该ANA沟槽于该中介层中后,设置一间隔体层于该结构上方;以及
蚀刻该间隔体层以形成由设置在该心轴的侧壁上的间隔体组成的一阵列,暴露在该心轴层中的该心轴的顶面,以及暴露在该ANA沟槽的底部的该第一硬掩模层。
3.如权利要求1所述的方法,包含:
用该第一截切掩模形成一贝塔沟槽于该心轴层的心轴中;
利用该贝塔沟槽形成该图案的一部分;以及
从该图案中由该贝塔沟槽形成的该部分,形成一心轴线截切于该金属线阵列的一心轴线中。
4.如权利要求1所述的方法,包含:
用该第二截切掩模在该心轴层的心轴之间形成一伽马沟槽于该中介层中;
利用该伽马沟槽形成该图案的一部分;以及
从该图案中由该伽马沟槽形成的该部分,形成一非心轴线截切于该金属线阵列的一非心轴线中。
5.如权利要求1所述的方法,其中,该ANA区域延伸穿过该金属线阵列的该心轴及非心轴金属线。
6.如权利要求1所述的方法,其中,蚀刻该OPL的步骤包含:对于该心轴、中介层及该间隔体,选择性地蚀刻该OPL。
7.如权利要求1所述的方法,其中,该中介层有一预定厚度,其厚到足以使该OPL能以受控方式蚀刻,使得:
除了在该ANA沟槽的以外,从整个该结构移除该OPL,
该OPL的该顶面低于该第二硬掩模层的底面,以及
不暴露该第一硬掩模层在该ANA沟槽的底部的部分。
8.如权利要求1所述的方法,其中,该中介层为一旋涂式硬掩模。
9.如权利要求2所述的方法,包含:
移除该心轴以暴露该第二硬掩模层;以及
选择性蚀刻该第二硬掩模层以暴露该中介层而不蚀刻去掉该间隔体。
10.如权利要求9所述的方法,包含:
选择性蚀刻该中介层以暴露该第一硬掩模层而不蚀刻去掉该间隔体及OPL,该间隔体、OPL及第一硬掩模层形成该图案;以及
各向异性蚀刻该图案于该介电堆栈的该介电层中。
11.一种方法,包含:
提供一结构,其具有各自设置于介电堆栈上方的第一硬掩模层、中介层、第二硬掩模层及心轴层;
用一心轴掩模图案化由数个心轴组成的一阵列于该心轴层中;
用一第一截切掩模图案化一贝塔沟槽及一ANA沟槽于该心轴层中;
用一第二截切掩模图案化一伽马沟槽及该ANA沟槽于该中介层中;
设置有机平坦化层(OPL)于该结构上方;
蚀刻该OPL以只设置该OPL于该ANA沟槽中;
蚀刻该结构以在该介电堆栈中形成一图案;以及
从在该介电堆栈中的该图案形成由数条金属线组成的一阵列,该图案中由该ANA沟槽形成的一部分在该介电堆栈内形成一ANA区域。
12.如权利要求11所述的方法,包含:蚀刻该OPL使得该OPL的顶面低于该第二硬掩模层。
13.如权利要求11所述的方法,包含:
蚀刻该结构以在该介电堆栈的介电层中形成该图案;以及
从在该介电层中的该图案形成由数条交替心轴及非心轴金属线组成的阵列,该图案中由该ANA沟槽形成的该部分在该介电层中形成该ANA区域。
14.如权利要求13所述的方法,其包含下列步骤:
利用该贝塔沟槽形成该图案的一部分;
利用该伽马沟槽形成该图案的一部分;
从该图案中由该贝塔沟槽形成的该部分,在该金属线阵列的一心轴线中形成一心轴线截切;以及
从该图案中由该伽马沟槽形成的该部分,形成一非心轴线截切于该金属线阵列的一非心轴线中。
15.如权利要求11所述的方法,包含:
在图案化该ANA沟槽于该中介层中后,设置一间隔体层于该结构上方;以及
蚀刻该间隔体层以形成由设置在该心轴的侧壁上及该ANA沟槽的侧壁上的间隔体组成的一阵列,暴露在该心轴层中的该心轴的顶面,以及暴露在该ANA沟槽的底部的该第一硬掩模层。
16.如权利要求11所述的方法,其中,蚀刻该OPL的步骤包含:相对于该心轴及该间隔体,选择性蚀刻该OPL。
17.如权利要求11所述的方法,其中,该中介层有一预定厚度,其厚到足以使该OPL能以受控方式蚀刻,使得:
除了在该ANA沟槽的以外,从整个该结构移除该OPL,
该OPL的该顶面低于该第二硬掩模层的底面,以及
不暴露该第一硬掩模层在该ANA沟槽的底部的部分。
18.如权利要求1所述的方法,其中,该中介层为一旋涂式硬掩模。
19.如权利要求15所述的方法,包含:
移除该心轴以暴露该第二硬掩模层;以及
选择性蚀刻该第二硬掩模层以暴露该中介层而不蚀刻去掉该间隔体。
20.如权利要求19所述的方法,包含:
选择性蚀刻该中介层以暴露该第一硬掩模层而不蚀刻去掉该间隔体及OPL,该间隔体、OPL及第一硬掩模层形成该图案;以及
各向异性蚀刻该图案于该介电堆栈的一介电层中。
CN201710858126.6A 2016-09-21 2017-09-21 在集成电路中形成ana区域的方法 Active CN107863295B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/271,519 US9786545B1 (en) 2016-09-21 2016-09-21 Method of forming ANA regions in an integrated circuit
US15/271,519 2016-09-21

Publications (2)

Publication Number Publication Date
CN107863295A true CN107863295A (zh) 2018-03-30
CN107863295B CN107863295B (zh) 2021-07-30

Family

ID=59981418

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710858126.6A Active CN107863295B (zh) 2016-09-21 2017-09-21 在集成电路中形成ana区域的方法

Country Status (3)

Country Link
US (1) US9786545B1 (zh)
CN (1) CN107863295B (zh)
TW (1) TWI660424B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US10395926B1 (en) 2018-04-17 2019-08-27 Globalfoundries Inc. Multiple patterning with mandrel cuts formed using a block mask
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method
US10903082B2 (en) 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
CN111640658B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
EP3840034B1 (en) 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US20140170853A1 (en) * 2012-12-14 2014-06-19 Lam Research Corporation Image reversal with ahm gap fill for multiple patterning
US20150140811A1 (en) * 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-Damage-Free Etching
CN104658893A (zh) * 2013-11-22 2015-05-27 台湾积体电路制造股份有限公司 具有减小的间距和线间隔的集成电路及其形成方法
US20160225623A1 (en) * 2015-01-30 2016-08-04 Tokyo Electron Limited Method for manufacturing semiconductor device

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4339946B2 (ja) 1999-01-08 2009-10-07 パナソニック株式会社 半導体装置の製造方法
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100615575B1 (ko) 2004-09-10 2006-08-25 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 배치 방법
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US8802451B2 (en) 2008-02-29 2014-08-12 Avalanche Technology Inc. Method for manufacturing high density non-volatile magnetic memory
US7969776B2 (en) 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
JP6087506B2 (ja) 2012-01-31 2017-03-01 キヤノン株式会社 描画方法及び物品の製造方法
US9252021B2 (en) 2012-02-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for Fin-like field-effect transistor (FinFET) devices
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
KR20140008863A (ko) 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
US8883646B2 (en) 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
CN103633029B (zh) 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US8869090B2 (en) 2013-03-11 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US8932957B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9023695B2 (en) 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning features of a semiconductor device
US9240346B2 (en) 2013-03-14 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Double patterning method
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9165770B2 (en) 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9257282B2 (en) 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9123656B1 (en) 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9263325B1 (en) 2014-08-20 2016-02-16 Globalfoundries Inc. Precut metal lines
US9209279B1 (en) 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
KR20160034492A (ko) 2014-09-19 2016-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용하여 형성된 반도체 소자
US9530637B2 (en) 2014-10-05 2016-12-27 Applied Materials, Inc. Fin structure formation by selective etching
US9673055B2 (en) 2015-02-04 2017-06-06 Globalfoundries Inc. Method for quadruple frequency FinFETs with single-fin removal
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9406775B1 (en) 2015-04-27 2016-08-02 Globalfoundries Inc. Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US20140170853A1 (en) * 2012-12-14 2014-06-19 Lam Research Corporation Image reversal with ahm gap fill for multiple patterning
US20150140811A1 (en) * 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-Damage-Free Etching
CN104658893A (zh) * 2013-11-22 2015-05-27 台湾积体电路制造股份有限公司 具有减小的间距和线间隔的集成电路及其形成方法
US20160079063A1 (en) * 2013-11-22 2016-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Double Patterning Lithography process Using Plurality of Mandrels for Integrated Circuit Applications
US20160225623A1 (en) * 2015-01-30 2016-08-04 Tokyo Electron Limited Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US9786545B1 (en) 2017-10-10
TW201826376A (zh) 2018-07-16
TWI660424B (zh) 2019-05-21
CN107863295B (zh) 2021-07-30

Similar Documents

Publication Publication Date Title
CN107863295A (zh) 在集成电路中形成ana区域的方法
US9818641B1 (en) Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818611B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
KR102603019B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9818640B1 (en) Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US8697580B2 (en) Method of forming patterns for semiconductor device
TWI556066B (zh) 執行自對準微影蝕刻製程的方法
US9536778B2 (en) Self-aligned double patterning process for metal routing
US10366890B2 (en) Method for patterning a substrate using a layer with multiple materials
CN109075123B (zh) 用于使用具有多种材料的层对基板进行图案化的方法
JP6715415B2 (ja) メモリフィンパターンを形成するための方法及びシステム
TWI634593B (zh) 形成用於心軸及非心軸互連線之自對準連續性區塊之方法
TWI647789B (zh) 用於在積體電路中之互連線及相關連續性區塊形成圖案之方法
JP6805414B2 (ja) サブ解像度基板パターニング方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210315

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GF

GR01 Patent grant
GR01 Patent grant