TWI652842B - 用作爲電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法 - Google Patents

用作爲電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法 Download PDF

Info

Publication number
TWI652842B
TWI652842B TW105107261A TW105107261A TWI652842B TW I652842 B TWI652842 B TW I652842B TW 105107261 A TW105107261 A TW 105107261A TW 105107261 A TW105107261 A TW 105107261A TW I652842 B TWI652842 B TW I652842B
Authority
TW
Taiwan
Prior art keywords
decane
bis
ruthenium
dimethyl
precursor
Prior art date
Application number
TW105107261A
Other languages
English (en)
Other versions
TW201707250A (zh
Inventor
羅伯特 戈登 瑞吉威
麥克 T 薩沃
雷蒙 尼克勞斯 孟提
威廉 羅伯特 恩特利
新建 雷
約翰 基爾斯 蓮甘
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201707250A publication Critical patent/TW201707250A/zh
Application granted granted Critical
Publication of TWI652842B publication Critical patent/TWI652842B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明關於一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。

Description

用作為電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法
本研究關於一種運用化學氣相沉積技術來製造電阻性隨機存取記憶(RRAM)裝置之方法。更明確地說,本研究關於藉由運用電漿強化化學氣相沉積(PECVD)製程來沉積含矽前驅物及致孔劑前驅物的氣態混合物,之後接著藉由UV輻射去除致孔劑而製造電阻性隨機存取記憶體裝置。
電阻性隨機存取記憶體(RRAM)屬於藉由改變橫越介電固態材料的電阻而發生作用之類型的非揮發性隨機存取(RAM)電腦記憶體,其常被稱作憶阻器(memristor)。RRAM涉及在薄氧化物層中產生缺陷,通稱為氧空位(氧已經被移除的氧化物鍵部位),該等氧空位其後能在電場作用之下充電而且偏移。氧離子和空位在該氧化物中運動的情形大概與電子和電洞在半導體中運動的情形類似。
先前技藝中運用許多材料及方法來製造RRAM裝置。舉例來說,美國公開案第2011/124174A號提供一種形 成可變電阻記憶體裝置及可變電阻半導體記憶體裝置的電極之方法,其包括:形成一熱電極;使一可變電阻材料層形成於該熱電極上;及使一頂部電極形成於該可變電阻材料層上,其中該熱電極包括原子半徑比鈦(Ti)更大的金屬的氮化物,而且係透過熱化學氣相沉積(CVD)方法形成而不需使用電漿。
標題名為“Complementary and bipolar regimes of resistive switching in TiN/HfO2/TiN stacks grown by atomic-layer deposition,”Egorov,K.V.等人,Phys.Status Solidi A,(2015)的參考資料描述一種結合真空中XPS分析的原子層沉積(ALD)技術,其係用以獲得供電阻性隨機存取記憶元件用的完全由ALD長成的平面型TiN/HfO2/TiN金屬-絕緣體-金屬結構。
標題名為“Resistive switching phenomena in TiOx nanoparticle layers for memory applications,”Goren,E.等人,Condens.Matter:1-15(2014)的參考資料提供藉由二不同方法:ALD或溶凝膠製造的Co/TiOx/Co電阻性記憶體裝置的電氣特性。
標題名為“Self-Limited Switching in Ta2O5/TaOx Memristors Exhibiting Uniform Multilevel Changes in Resistance,”Kim,K.M.等人,(2015),Adv.Funct.Mater.25:1527-1534的參考資料描述一種解決接續時的不均勻度的問題之方法,該問題係由於許多以過渡金屬氧化物為基礎的電阻切換記憶體(resistance switching memory)之絲阻型切換機 構的隨機特性而造成。
標題名為“Bipolar resistive switching and charge transport in silicon oxide memristor,”Mikhaylov,A.N.等人,(2015),Materials Science and Engineering:B 194:48-54的參考資料描述藉由磁控管濺鍍技術沉積在該TiN/Ti金屬化SiO2/Si基材上的以SiOx為基礎的薄膜憶阻器結構之可再現的雙極電阻切換。
美國公開案編號US 2013/264536A描述憶阻器單元的不同具體實施例,其包含:(1)基材;(2)與該基材結合的電氣開關;(3)絕緣層;及(3)電阻性記憶體材料。該電阻性記憶體材料係選自由SiOx、SiOxH、SiOxNy、SiOxNyH、SiOxCz、SiOxCzH及其組合所組成的群組,其中x、y及z各自等於或大於1或等於或小於2。本發明的其他具體實施例有關憶阻器陣列,其包含:(1)多數位元線;(2)與該位元線正交的多數字元線;及(3)被佈置於該等字元線與該等位元線之間的多數前述憶阻器單元。本發明的其他具體實施例提供前述憶阻器單元及陣列的製造方法。
標題名為“Nano多孔性Silicon Oxide Memory,”Wang,G.等人(2014)Nano Letters 14(8):4694-4699的參考資料描述被視為下世代非揮發性記憶體之以氧化物為基礎的二端電阻性隨機存取記憶體。該RRAM記憶體結構運用能透過其內部垂直奈米間隙進行單極性切換的奈米多孔性氧化矽(SiOx)材料。
標題名為“Resistive switches and memories from silicon oxide,”Yao,J.等人(2010),Nano Lett.10(10):4105-4110的參考資料描述氧化矽(SiOx)充當被動型絕緣組件在構建電子裝置方面的用途。
標題名為“Silicon Oxide:A Non-innocent Surface for Molecular Electronics and Nanoelectronics Studies,”Yao,J.等人,(2010),Journal of the American Chemical Society 133(4):941-948的參考資料描述氧化矽(SiOx)充當支撐性及絕緣性介質的用途。
標題名為“In situ imaging of the conducting filament in a silicon oxide resistive switch,”Yao,J.等人,(2012),Sci.Rep.2的參考資料描述矽奈米晶體對不同電力刺激所回應的生長及收縮以矽形式顯示高能可行的過渡程序,提供了關於該切換機構的證據。該參考資料也洞察在電子裝置主體中無所不在的氧化矽層的電擊穿製程。
標題名為“Role of interfacial layer on complementary resistive switching in the TiN/HfOx/TiN resistive memory device,”Zhang,H.Z.等人(2014),Appl.Phys.Lett的參考資料描述底部界面層(IL)的角色在於使TiN/HfOx/IL/TiN電阻性記憶體裝置中有穩定的互補式電阻切換(CRS)。獲得穩定的CRS是為了TiN/HfOx/IL/TiN裝置,其中包含Hf和Ti亞氧化物的底部IL起因於TiN在HfOx層原子層沉積的初步階段期間發生氧化。在該TiN/HfOx/Pt裝置中,該底部IL的形成被受惰性Pt金屬所抑制,但是沒觀察到CRS。有人提出介於IL與HfOx層的傳導途徑之間的氧離子交 換會造成該TiN/HfOx/IL/TiN裝置中觀察到的互補式兩極切換性能。
標題名為“Characterization of external resistance effect and performance optimization in unipolar-type SiOx-based resistive switching memory,”Zhou,F.等人,(2014),Applied Physics Letters 105(13)拿具有金屬-絕緣體-金屬結構與具有金屬-絕緣體-半導體結構之以SiOx為基礎的電阻性隨機存取記憶體裝置作比較,而且敍述外部電阻對裝置性能的效應之特徵。
但是在上述製程中,將沉積SiOx膜及產生缺陷教導成單獨獨立的步驟,由於這樣的製程並無法輕易使用眾所周知的大量製造方法及某些設備使其變得沒有效率而且沒有經濟效益。所以需要一種製程能將沉積及缺陷產生簡化成同一製程平台內的連續步驟。本研究提供了這樣的製程。
在一態樣中,本研究提供一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。
10‧‧‧電子裝置
12‧‧‧基材
14‧‧‧第一電極
16‧‧‧電阻性記憶體材料層
18‧‧‧第二電極
圖1顯示本研究方法所製造的垂直取向電子裝置之示意圖;圖2顯示本研究方法所製造的另一垂直取向電子裝置之示意圖;圖3A舉例說明正向電壓掃描的電流對電壓分佈圖,其在外加高電位而且該SiOx膜顯現硬性電擊穿或短路現象以前皆未顯示提高的導電率,然而反向掃描將該短路現象的影響顯示為電流密度在往回掃描至0伏特的期間卻維持於高值;圖3B舉例說明一電流對電壓分佈圖,其中綠色的正向掃描於極低外加電壓下顯示明顯提高的導電率,表示該SiOx膜漏電太多或導電率太高而致於極低電位下硬性擊穿;圖3C舉例說明一電流對電壓分佈圖,其顯示有磁滯電流(hysteretic current),亦即,於大約3.5V顯示活化而且於大約10V顯示去活化的電壓掃描;圖4A舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示該介電質於28V的外加電位下硬性擊穿;圖4B舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示電阻性記憶體切換裝置的磁滯電流-電壓分佈;圖4C舉例說明使用變化致孔劑對結構形成劑比率所沉積的SiOx膜之電流對電壓分佈圖,其顯示於極低外加電位下 電擊穿而且絕緣性不足以當作記憶體切換裝置的膜之分佈;圖5A舉例說明一電流對電壓分佈圖,其示範了使用80:20的致孔劑對結構形成劑比率所沉積之以多孔性PECVD為基礎的SiOx膜之磁滯特性分佈;圖5B舉例說明一電流對電壓分佈圖,其示範了使用85:15的致孔劑對結構形成劑比率所沉積之以多孔性PECVD為基礎的SiOx膜之磁滯特性分佈;圖6A舉例說明根據長時期於1V下讀取開啟及關閉狀態所得的多孔性PECVD SiOx膜的信號保持力之曲線圖;及圖6B舉例說明顯示記憶體切換穩定性之曲線圖,其係以多孔性PECVD SiOx膜測試1000周期為示範。
本發明的具體實施例係詳細討論於下。在描述具體實施例時,為求簡化而運用了特定用語。然而,本發明並無意受限於如此選定的特定用語。儘管討論了特定示範具體實施例,但是咸應了解這只是為了舉例說明的目的而完成。熟悉相關技藝者將會明白其他組成部分及組態皆能應用而不會悖離本研究的精神及範疇。本文引用的所有參考資料係以引用的方式將其併入本文,就好像其各自個別地被併入。
本發明提供一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含 矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。
根據本發明製造的裝置較佳為RRAM裝置,其中該設備包含:半導體基材;多數包含導電材料的電極;包含至少一多孔性含矽材料的電阻性記憶體材料;及至少一包含絕緣材料的介電材料,其中該多數電極中的至少一部分接近該電阻性記憶體材料,而且其中該設備係沉積於該半導體基材表面上。
氧化矽類,特別是二氧化矽(SiO2),長久以來皆在構建電子裝置時被視為鈍態絕緣組件。然而,在本文所示的具體實施例中,顯示氧化矽類(例如,SiO2及SiOx)可在被轉換成可切換導電狀態時當作電子裝置中的活性轉換材料及電子輸送元件。不欲受任何理論或機制束縛,咸相信一或更多適當等級的脈衝或掃描外加於含氧化矽的電子裝置造成通過大體上非導電性氧化矽基質的可切換導電途徑。該一或更多高電壓脈衝或掃描一般係於或高於氧化矽的軟性電擊穿電位但是低於發生硬性擊穿的電壓。外加適當等級的電壓脈衝或掃描造成該氧化矽基質內形成含可切換導電途徑的矽奈米晶體、矽奈米線或金屬絲,該氧化矽基質能維持電極端子之間的電子輸送。該可切換導電途徑能藉由施加足夠等級的電壓脈衝而被遮斷,並且接著藉由施加較低等級的電壓脈衝重新形成。遮斷及重新形成該導電途徑在記憶體裝置中分別相當於運轉的關閉和開啟狀態,使該等電子裝置能以不同的關 閉和開啟狀態運轉當成記憶體元件及憶阻器。
在不同的具體實施例中,本文所揭示的方法所製備的電子裝置包括第一電性接點及第二電性接點,該二者係經佈置以界定其間的間隙區域。含可切換導電性氧化矽的切換層存在於該間隙區域中。至少該第一電性接點被沉積於該基材上。該電子裝置顯示磁滯電流與電壓性質的抗衡。
在一些具體實施例中,該可切換導電性氧化矽係帶缺陷的SiO2。這樣的帶缺陷的SiO2可由存在於該間隙區域中的SiO2製造。在本研究的較佳具體實施例中,帶缺陷的SiO2藉由自SiO2基質除去致孔劑而發生,如同後文中將更詳細討論的。
如本文所用的,該措辭“可切換導電性氧化矽"表示,舉例來說,顯示等到於或高於軟性電擊穿電壓但是低於硬性電擊穿電壓(亦即,造成短路的電壓)啟動之後,磁滯電流與電壓性質的抗衡的氧化矽。由於該磁滯電流與電壓性質的抗衡,含可切換導電性氧化矽的電子裝置具有至少一實質上導電性的開啟狀態及至少一實質上非導電性的關閉狀態。不欲受任何理論或機制束縛,咸相信矽-矽鍵以矽奈米晶體形式代替矽-氧鍵而使原始氧化矽材料中形成可切換導電途徑。
在一些具體實施例中,該可切換導電性氧化矽係非化學計量的氧化矽SiOx。在一些具體實施例中,SiOx具`有一氧化矽與二氧化矽之間的化學計量(例如,x大於1而且小於2)。在更具體的具體實施例中,x介於1.5與2之間。在又更具體的具體實施例中,x介於1.6與1.8之間或介於1.9與2 之間。在其他具體實施例中,SiOx具有比一氧化矽更低的化學計量(例如,x大於0而且小於1)。
該RRAM應用與低-k應用不同之處在於該介電質係以產生缺陷或細孔的方式沉積,該沉積方式能透過外加電場引發通過該介電質的可切換導電度而用化學改變。特徵例如該膜中的Si-Si鍵結能達成這樣的性質。在多孔性低-k應用中Si-Si鍵結會造成該膜的絕緣性質敗壞。
RRAM電子裝置能構建成種種不同取向。在一些具體實施例中,該等電子裝置係依水平取向,而且該第一電性接點與該第二電性接點在基材上間隔開,其中該切換層存在於該第一電性接點與該第二電性接點之間的基材上。本研究的方法現在將引用圖1例示,其顯示例示性水平取向的電子裝置10的示意圖。
本研究方法的第一步驟係將第一電極14沉積於基材12上。較佳地,該基材12係半導體基材。該半導體基材可能是選自以下的材料:矽、鍺、氧化矽、氮化矽、碳化矽、碳氮化矽、摻碳的氧化矽、摻硼的矽、摻磷的矽、摻硼的氧化矽、摻磷的氧化矽、摻硼的氮化矽、摻磷的矽、氮化矽、金屬(例如銅、鎢、鋁、鈷、鎳、鉭)、金屬氮化物(例如氮化鈦、氮化鉭)、III/V族金屬氧化物(例如GaAs、InP、GaP及GaN)及其組合。
該電極可由任何適合的導電材料例如,舉例來說,Au、Pt、Cu、Al、ITO、石墨烯(grapheme)及經迥度摻雜的矽或任何其他適合的金屬或合金製造。
該第一電極14的導電材料可利用下列沉積製程中之其一沉積:物理氣相沉積、化學氣相沉積、MOCVD及原子層沉積。在一特定具體實施例中,該第一電極14係利用ALD製程來沉積。在此具體實施例中該導電材料可利用選自下列化合物的有機金屬前驅物來沉積:烷基金屬、金屬醯胺類及金屬鹵化物。
該等電極層的厚度能視需要或沉積製程變化。舉例來說,若藉由ALD來沉積,該等電極層的厚度常常為10至20nm。
關於適用於沉積該電極材料的ALD或MOCVD沉積、製程、前驅物包括,舉例來說,(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、(2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕;羰基金屬例如六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞 胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢;金屬鹵化物類例如四氯化鉿、五氯化鉭及六氯化鎢。
接下來,本研究的方法包含使多孔電阻性記憶體材料層形成於該第一電極上的步驟,其中該多孔電阻性記憶體層係藉由下列方式形成:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物。
再參照圖1,本研究的方法提供一種多孔性含矽材料或膜,其係用作電阻性記憶體材料層16。較佳地,該經沉積的多孔電阻性記憶體材料層16係選自由氧化矽、摻碳的氧化矽、氧氮化矽、氮化矽、摻碳的氮化矽、多孔性氧化矽、多孔性摻碳的氧化矽所組成的群組,其可利用習用化學氣相沉積方法,例如低壓化學氣相沉積(LPCVD)、化學氣相沉積(CVD)或電漿強化化學氣相沉積(PECVD),藉著矽前驅物例如四乙氧基矽烷或任何其他矽前驅物來沉積。
較佳地,該(等)多孔性含矽膜能利用電漿強化化學氣相沉積(PECVD)或原子層沉積(ALD)製程來沉積。較佳為PECVD。該等多孔性含矽膜可能是一層或多層。在一些具體實施例中,該多孔性含矽膜係利用PECVD製程由包含矽前驅物及致孔劑前驅物的組合物沉積,其中該碳的量係透過矽前驅物及致孔劑的選擇來控制以獲得具有最佳末端甲基;最佳橋聯碳;對多孔性膜最佳的非晶形碳之膜。將碳含量及類型最佳化以提供結果產生的膜的後固化,其往往具有提供最佳 電成形條件(electroforming condition)的缺陷密度(例如,介於該等電極之間的最低外加電壓)。
該多孔性含矽膜的PECVD沉積能經調整以控制該沉積膜的細孔密度。與其他沉積技術相比關於PECVD的細孔大小本來是小的或微孔性。將沉積最佳化以控制細孔密度及從而細孔連接長度(interconnectivity length)使結果產生的電阻性記憶體材料的切換性能提高,使電成形電位降低,而且使該設備的設置(set)和復歸電位(reset potential)降低。在此或可供選擇的具體實施例中,該多孔性含矽膜的細孔密度能藉由包括矽前驅物/致孔劑混合比在內的沉積參數控制。
該多孔性含矽材料或膜(亦即,電阻性記憶體材料層16)使用包含矽前驅物及致孔劑前驅物的氣態混合物之組合物來沉積。示範矽前驅物包括,但不限於,四乙氧基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、二第三戊氧基甲基矽烷、二第三丁氧基矽烷、二第三戊氧基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基二甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基二甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲-1,2-二乙醯氧基-1,2- 二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(二甲氧基矽烷基)甲烷、雙(二甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其混合物。
多孔層的較佳厚度係介於40至60nm之間。該範圍可能更薄或更厚-有可能20至120nm,端視預期膜厚度而定。遠低於20nm可能漏電太多。比100至120nm更厚許多要被軟性電擊穿可能挑戰性更高。
適用於本研究用途的矽前驅物包括美國專利第6,846,515號、美國專利第7,384,471號、美國專利第7,943,195號、美國專利第8,293,001號、美國專利第9,061,317號、美國專利第8,951,342號、美國專利第7,404,990號、美國專利第7,470,454號、美國專利第7,098,149號及美國專利第7,468,290中所揭示者,在此以引用的方式將其揭示內容併入。
在較佳具體實施例中,該矽前驅物係四乙氧基矽 烷、二第三丁氧基矽烷或其混合物。
較佳地,與該矽前驅物混合的致孔劑前驅物係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯(cyclopentene oxide)、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘。在較佳具體實施例中,該致孔劑前驅物係選自由原冰片二烯、環辛烷及其混合物所組成的群組。
在另一具體實施例中,該多孔性含矽材料能使用包含二或更多矽前驅物及致孔劑前驅物的組合物來沉積。在這些具體實施例中,該致孔劑係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘;該等矽前驅物係選自上述化合物清單。
當使用時,該介電材料及該電阻性記憶體材料能使用相同矽前驅物在相同製程條件或不同製程條件之下沉積。在其他具體實施例中,該介電材料及該電阻性記憶體材料能使用不同矽前驅物在相同製程條件或不同製程條件之下沉積。
在另一具體實施例中,該多孔性含矽膜能藉由在多孔性含矽膜的PECVD沉積期間添加摻雜劑而被摻雜。該等 摻雜劑能選自由II至VI族元素所組成的群組,該等元素包括,但不限於,Zn、Mg、B、P、As、S、Se及Te。這樣的摻雜劑有可能共沉積成為烷氧化物(硼酸三甲酯、硼酸三乙酯、磷酸三甲酯、亞磷酸三甲酯)、氫化物(AsH3、PH3、H2Se、H2Te)、二甲基鋅、二甲基鎂、二甲基碲、二甲基硒、三甲基膦、三甲基胂或繫鏈於含前驅物的摻雜劑,例如二乙氧基甲基矽烷基膦。
在另一具體實施例中,可以把金屬或金屬氧化物加於該等多孔性含矽膜以便改善該等多孔性含矽膜的電阻性質。儘管物理氣相沉積(PVD)及金屬氧化物化學氣相沉積(MOCVD)能用以沉積金屬,但是較佳為PVD或ALD,因為該氧化物的細孔通常小於10nm。加於該等多孔性含矽膜的金屬濃度能受控制以在當成RRAM裝置運轉的情形中維持低導電狀態與高導電狀態之間的電阻率差異。能使用的示範金屬前驅物包括,但不限於,烷基金屬例如二乙基鋅、三甲基鋁、(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕;羰基金屬例如六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁 (二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢;金屬鹵化物例如四氯化鉿、五氯化鉭、六氯化鎢。
還有,在另一具體實施例中,該多孔性含矽材料或層16能包含第二含矽層,該第二含矽層能被併入或選擇性地毗鄰該等多孔性含矽膜。在此具體實施例中,該含矽層能藉由循環式化學氣相沉積(CCVD)或原子層沉積來沉積。在一特定具體實施例中,該第二含矽層包含由SiH3或SiH2基團所組成的單層或膜,亦即,藉由引進第二含矽前驅物以與該多孔性含矽材料內部的細孔表面反應而將Si-OH轉化成Si-O-SiH3或Si-O-SiH2,其能在後繼製程中藉由電形成方法轉化成奈米矽粒子。沉積該第二含矽層的第二含矽前驅物的實例包括,但不限於,(a)氯矽烷類例如單氯矽烷及單氯二矽烷;(b)有機胺基矽烷類例如二異丙基胺基矽烷、二第二丁基胺基矽烷、二異丙基胺基二矽烷、二第二丁基胺基二矽烷、雙(第三丁基胺基)矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷;(c)三甲矽烷基胺及其衍生 物;及(d)雙(二甲矽烷基胺基)矽烷H2Si((NSiH3)2)2。在某些具體實施例中,經固化沉積的緻密有機矽酸鹽玻璃能用以產生能依數種方式達成的變化碳含量之膜。
以下為使該等多孔性含矽膜形成或最佳化的示範方法:(a)使用寬帶UV輻射及臭氧產生細孔並且滌除所有揮發性殘餘物,於是造成具有極低消光係數<0.001的多孔性含矽膜;(b)使用寬帶UV結合H2電漿產生細孔並且滌除Si-CH3,換成鍵結於Si的氫。這樣的Si-H鍵總是當作降低活化必需電位的電形成製程中的潛在缺陷部位;及/或(c)使用EUV(<176nm)產生細孔並且滌除Si-CH3,換成Si-H。這樣的Si-H鍵總是當作降低活化必需電位的電形成製程中的潛在缺陷部位。
在下列條件之下進行從有機矽酸鹽膜選擇性去除致孔劑的光固化。
環境可能是惰性(例如,氮、CO2、稀有氣體(He、Ar、Ne、Kr、Xe)等等)、氧化性(例如,氧、空氣、稀氧環境、富氧環境、臭氧、一氧化二氮等等)或還原性(例如,稀釋或濃縮烴類、氫等等)。溫度較佳為周遭至500℃。功率較佳為0至5000W。波長較佳為IR、可見光、UV或深UV(波長<200nm)。總固化時間較佳為0.01分鐘至12小時。
該沉積膜中的致孔劑與引進反應艙的致孔劑可能是或可能不是相同形式。同樣地,該致孔劑去除製程可從 該膜釋出該致孔劑或其斷片。本質上,該致孔劑試劑、該預備膜中的致孔劑及待去除的致孔劑皆可能是或可能不是相同物種,但是較佳為其全源於該致孔劑試劑(或致孔劑取代基)。不管該致孔劑是否在整個發明製程中保持不變,用於本文時該措辭“致孔劑”意欲包含造孔試劑(或造孔取代基)及其衍生物,無論在本發明整個製程期間見到的任何形式。
該電阻性記憶體材料的總孔隙率可為5至75%,取決於製程條件及預期的最終膜性質。這樣的膜較佳地具有低於2.0g/ml的密度,或低於1.5g/ml或低於1.25g/ml。較佳地,本研究的電阻性記憶體材料具有比沒用致孔劑所製造的類似含矽膜更低至少10%,更佳為更低至少20%。
本研究的方法也包括將第二電極18沉積於該多孔電阻性記憶體材料層16頂部上的步驟。上述與該第一電極14相關的相同製程及導電材料皆能用以沉積該第二電極18。
用於該設備內形成本文所述的沉積方法的某些具體實施例使用一或更多洗淨氣體洗掉沒消耗掉的反應物及/或反應副產物。適合的洗淨氣體係不會與用以沉積該裝置的前驅物反應的氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。
把能量施加於該含矽前驅物、致孔劑前驅物、含氧來源、含氮來源、還原劑、其他前驅物及/或其組合中的至少其一以引發反應並且形成該含矽膜或塗層於該基材上。此能量可藉由以下提供,但不限於,熱、電漿、微波電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、 電子束、光子、遠距電漿方法及其組合。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可包含直接電漿產生製程,其中該電漿直接在該反應器中產生,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生製程。
該等前驅物可依各式各樣的方式輸送給該反應艙,例如PECVD或ALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以於基材上形成一膜。
在某些具體實施例中,從前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且該至少一含矽前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含該至少一含矽前驅物的溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
用於沉積的反應器或沉積艙溫度可介於下列端點中之其一:周遭溫度或25℃;100℃;200℃;250℃;300℃; 350℃;400℃;450℃;500℃及其任何組合。關此,用於沉積的反應器或沉積艙溫度可介於周遭溫度至1000℃、約150℃至約400℃、約200℃至約400℃、約300℃至600℃,或本文所述的溫度端點的任何組合。
該反應器或沉積艙壓力可介於約0.1托耳至約760托耳、較佳為低於10托耳。供應該等前驅物、氧來源、氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變結果產生的含矽膜的化學計量組成。
能藉由本研究的方法製造的裝置之組態實例可於美國專利第9,129,676號中見到,在此以引用的方式將其供併入本文。
本發明將參照下列實施例更詳細地舉例說明,但是咸應了解不欲依任何方式限制彼。
實施例
下列實施例將會顯示相應於用以沉積膜而且產生該膜中的細孔之製程條件所獲得的裝置結果。
所有實驗皆於裝配著Advance Energy 2000射頻產生器的200mm DXZ艙中,靠Applied Materials Precision 5000系統,利用未摻雜的TEOS製程套組進行。該方法涉及下列基礎步驟:氣流的初始設定及穩定化、沉積及在晶圓移除之前的艙洗淨/抽空。
一旦膜沉積時,便按下列方式將記憶體試驗結構 建構於該等晶圓上。將金製頂部電極沉積於該多孔性氧化物上。拿低電阻率矽基材用作底部電極。總共建構5個記憶體單元陣列,而且各自橫過該晶圓含有20個單元。
每個晶圓的所有100個單元或裝置皆利用橫過該多孔性介電質的電流-電壓掃描來測試。用電流對比於電壓的分佈來測定該等裝置是否運轉得像記憶體切換單元一樣,在該介電質的硬性擊穿之前一直保持非導電性,或於低外加電壓時為導電性或漏電性。這3個條件中之其二(硬性擊穿、漏電單元)往往表示損壞的裝置。具有清晰設置及複位點的磁滯電壓-電流掃描往往表示有效的可切換記憶體裝置。圖2舉例說明用於獲得電流-電壓掃描的試驗結構。圖3A至C顯示以下就單元所獲得的3個反應:a)在發生硬性電擊穿以前沒有足夠的導電性,b)於低外加電壓時導電性或漏電性太強,或c)顯示適合當切換記憶體裝置的磁滯電流-電壓掃描。明確地說,圖3A舉例說明在外加高電位及該SiOx膜中發展出硬性電擊穿或短路以前沒顯示導電性提高的正向電壓掃描。因為電流密度在往回掃描至0伏特的期間維持於高值,該反向掃描顯示該短路的影響。圖3B舉例說明該正向掃描於極低外加電壓時顯示明顯提高的導電度,表示該SiOx膜漏電性或導電性太強,造成極低電位下的硬性擊穿。圖3C舉例說明一磁滯電流-電壓掃描,其顯示電阻性記憶體裝置的磁滯電流-電壓分佈。
基材調理:用於此研究工作的基材係低電阻率p-型矽(0.005Ω-cm)。於室溫下這些基材含有大約8至10Å的表 面原生氧化物,其係無缺陷的高品質熱氧化物。假定此原生氧化物可防止缺陷驅使導電途徑連至該矽基材。在沉積SiOx膜以前,先為某些晶圓去除該緻密熱SiOx原生氧化物表面。所評估的第一去除方法是使用稀(5%)HF溶液的濕式蝕刻。將晶圓浸於稀HF溶液中經過10分鐘的時期同時攪動,接著於去離子水中水洗並且乾燥。其後在原生氧化物滌除的5分鐘以內將這些晶圓送去該P5000系統沉積,以防止該表面再氧化。
用HF去除原生氧化物之一可供選用的方法是使用以就地電漿或遠距電漿來源(RPS)為基礎的電漿以產生能蝕刻該原生氧化物的F自由基。在此製程中該晶圓能被置於該沉積艙及就地點燃且用以滌除該原生氧化物的NF3或RPS NF3電漿中。如以下表I所示,已測出該用於去除原生氧化物之電漿為基礎的方法使切換記憶體裝置的生產量獲得顯著改善。
Example 1:原生氧化物去除製程的比較係藉由沉積SiOx膜,使用以下製程條件進行:850mg/min環辛烷流量;150mg/min DEMS流量;100sccm CO2載氣;20sccm O2;700瓦的外加電漿功率;8托耳的艙壓;300℃的感知器溫度;沉積時間90秒,產生45至55nm的預UV固化膜。評估3種基材調理方法:稀HF濕式蝕刻、就地NF3電漿、沒滌除原生氧化物。將兩組20個裝置的陣列之測試結果列於表I:用以去除原生氧化物的就地NF3電漿自每陣列20個裝置裡提供最高的生產量。
實施例2:靠電氣切換性質所做的膜孔隙率比較係藉由使用3個不同的結構形成劑對致孔劑混合比進行。這些包括70%致孔劑/30%結構形成劑;80%致孔劑/20%結構形成劑;90%致孔劑/10%結構形成劑。咸認為提高SiOx膜的傳導作用必需產生足夠的缺陷密度以使電流能通過該膜。有兩種達成此目的的方法係以細孔大小或細孔密度為基礎。使用直徑為5至10nm的介孔(mesopore)能創造使該電極與另一電極相互連接的連續多孔性網狀結構。運用PECVD沉積的多孔性膜通常產生介孔或直徑<2nm的細孔。為了建立導電途徑,使較小細孔大小、細孔密度或多孔性容積,通常被表示成孔隙率百分比,變得更加重要。以PECVD應用於多孔性SiOx膜時,細孔密度能藉由其他因子例如結構形成劑對致孔劑比率的選定來控制。若存有不足的細孔密度,介於電極之間的導電途徑將無法建立而且該膜終將歷經硬性電擊穿。若該孔隙率太高,這將與影響導電度的其他因子(包括該膜中的碳量 及類型在內)結合,造成以SiOx為基礎的多孔性膜於低外加電位或短路時具有導電性,或電流能在關閉狀態下於電極之間洩漏(洩漏電流太高)。最佳的孔隙率將提供磁滯電流-電壓掃描於較低電壓設置,於較高電壓復歸,且當該外加電壓變動時能來回切換的膜。下列3膜在類似條件之下沉積:使用1000mg/min總前驅物流量。在70:30的案例中,這由700mg/min環辛烷及300mg/min TEOS組成;80:20-800mg/min環辛烷及200mg/min TEOS;90:10-900mg/min環辛烷及100mg/min TEOS。TEOS及環辛烷載劑各自使用100sccm CO2的流量;20sccm的O2流量;電漿功率為700瓦;艙壓8托耳;300℃的沉積溫度。厚度為45至55nm的膜就全部3條件沉積而且其後接著用寬帶UV來源退火90秒以去除致孔劑並且產生細孔。藉由橢圓偏光測孔法(EP)測定該等膜孔隙容量並且藉由X-射線光電子光譜(XPS)測定碳含量,得到以下表II所含的值。如預期該製程最高的致孔劑對結構形成劑比率(90:10)含有最高孔隙率及碳含量。這3膜係用以構建記憶體裝置並且按上述方式測試。將各膜所得的電流-電壓分佈顯示於圖4A至C。明確地說,圖4A顯示該介電質於28V的外加電位下的硬性擊穿。該等膜具有大約25%的細孔密度及非常低的殘餘碳量。圖4B顯示電阻性記憶體切換裝置的磁滯電流-電壓分佈。此膜具有>25%的細孔密度及<10%的碳含量。圖4C顯示於極低外加電位下電擊穿而且絕緣性不足以當作記憶體切換裝置的膜之分佈。此膜具有>30%的孔隙率及>20%的殘餘碳量。高孔隙率及殘餘碳量的組合導致於低外加電 位下的過早電擊穿。
該等裝置結果指示在具有不足孔隙率的膜中,例如圖3A所示,並沒有發生缺陷驅使軟性擊穿,而且該膜的硬性擊穿如該電流-電壓分佈所示般變成不可逆短路結果。該等裝置結果也指示具有高孔隙率及高殘餘碳含量的膜會於低外加電位下變得太易於導電或漏電。具有>25%的孔隙率及<20%的碳含量之膜示範記憶體切換能力。該膜的孔隙率及碳含量係根據用以沉積及固化該等膜的沉積及固化條件來調整。
實施例3:等到發現必需的基材調理及充分的細孔密度使導電途徑能橫越該膜的整個厚度之後,使用了80:20及85:15的致孔劑對結構形成劑比率來沉積並且測試該等膜。使這些膜固化經過夠長的時期以使碳含量降至20%。該等沉積條件由以下組成:結構形成劑TEOS(150或200mg/min)和環辛烷(850或800mg/min)的1000mg/min總前驅物流量、各前驅物的100sccm CO2載劑、20sccm的O2流量、700瓦 頻功率、8托耳艙壓、300℃沉積溫度。厚度為45至60nm的膜係沉積而且利用寬頻UV來源進行UV固化90秒。該等膜在其後用以構建如圖2所示的記憶體裝置。藉著圖5A和5B所示的代表性電流-電壓掃描分佈來評估該等膜的掃描能力,其示範了用80:20(5A)及85:15(5B)的致孔劑對結構形成劑比率所沉積之多孔性PECVD為基礎的SiOx膜之磁滯特性分佈。二膜皆顯示大約3.5至4.5V的軟性擊穿及大約10V的去活化。
二膜所顯示的磁滯切換性質皆指示能用作電阻性記憶體切換裝置的電位。將特定膜性質孔隙率及碳含量顯示於以下表III中。
實施例4:成功研發多孔性PECVD SiOx為基礎的膜之一重要構成成分係維持預定導電度或延長時間的開啟-關閉狀態的能力。此記憶體保持力係於圖5B靠沉積膜所製造的裝置測試並且顯示於圖6A。於1V的外加電位下測量電流時,>104Acm-2的電流密度差值保持了經過105秒的時期。
成功研發多孔性PECVD SiOx為基礎的膜之另一 重要構成成分係使眾多數目的切換周期從導電性切換成非導電性狀態的能力。該以PECVD為基礎的多孔性SiOx膜之規劃能力係藉著於1V下測到的電流從導電性或開啟狀態重複切換成絕緣性或關閉狀態而測試。將各狀態的測量電流顯示於圖6B,其中見到該裝置提供經過103個切換周期所獲得的介於導電狀態之間的>103電流密度差值。
本說明書中舉例說明而且討論的具體實施例僅意欲教導熟悉此技藝者發明人已知之創作並且應用本發明的方式。本說明書不得被視為限制本發明的範疇。所提供的所有實施例皆為代表性而且非限制性。有鑑於以上的教導使熟悉此技藝者明白,本發明的上述具體實施例可被修飾或改變,而不會悖離本發明。儘管本發明關聯寬口容器描述,但是根據本發明的面板曲率之功能應該能藉著標準成品(亦即,不是寬口頸部的成品)產生。因此咸了解在申請專利範圍及其等效物的範疇內,本發明皆可實行而不需另行具體描述。

Claims (14)

  1. 一種用於形成電阻性隨機存取記憶體裝置之方法,該方法包含以下步驟:將第一電極沉積於基材上;使多孔電阻性記憶體材料層形成於該第一電極上,其係藉由:(i)沉積包含矽前驅物及致孔劑前驅物的氣態組合物及,沉積以後,(ii)藉由使該組合物於UV輻射下曝光而除去該致孔劑前驅物,及(iii)沉積第二含矽層;以及將第二電極沉積於該多孔電阻性記憶體材料層頂部上。
  2. 如申請專利範圍第1項之方法,其中該矽前驅物係選自由以下所組成的群組中之至少一者:四乙氧基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、二第三戊氧基甲基矽烷、二第三丁氧基矽烷、二第三戊氧基矽烷、甲基三乙醯氧基矽烷、二甲基乙醯氧基矽烷、二甲基二乙醯氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙氧基矽烷、新己基三乙氧基矽烷、新戊基二甲氧基矽烷、二乙醯氧基甲基矽烷、苯基二甲氧基矽烷、苯基二乙氧基矽烷、苯基三乙氧基矽烷、苯基二甲氧基矽烷、苯基甲基二甲氧基矽烷、1,3,5,7-四甲基四環矽氧烷、八甲基四環矽氧烷、1,1,3,3-四甲基二矽氧烷、1-新己基-1,3,5,7-四甲基環四矽氧烷、六甲基二矽氧烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲-1,2-二乙醯氧基-1,2-二乙氧基二矽烷、1,3-二甲基-1,3-二乙氧基二矽氧烷、1,3-二甲基-1,3-二乙醯氧基二 矽氧烷、1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷、1,2-二甲基-1,1,2,2-四乙氧基二矽烷、1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷、1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷、甲基乙醯氧基第三丁氧基矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、六甲基二矽烷、四甲基二矽烷、二甲基二矽烷、六甲基二矽氧烷(HMDSO)、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、雙(三乙氧基矽烷基)甲烷、雙(三乙氧基矽烷基)乙烷、雙(二甲氧基矽烷基)甲烷、雙(二甲氧基矽烷基)乙烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)乙烷、雙(甲基二乙氧基矽烷基)甲烷、(二乙氧基甲基矽烷基)(二乙氧基矽烷基)甲烷及其混合物。
  3. 如申請專利範圍第2項之方法,其中該矽前驅物係選自由二第三丁氧基矽烷、二第三戊氧基矽烷、四乙氧基矽烷(TEOS)、四甲氧基矽烷及其混合物所組成的群組。
  4. 如申請專利範圍第1項之方法,其中該致孔劑係選自由以下所組成的群組中之至少一者:α-萜品烯、薴烯、環己烷、環辛烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、原冰片二烯、環氧環戊烯(cyclopentene oxide)、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、經取代的二烯類及十氫萘。
  5. 如申請專利範圍第3項之方法,其中該致孔劑包含原冰片 二烯、α-萜品烯或環辛烷。
  6. 如申請專利範圍第1項之方法,其中該多孔電阻性記憶體材料層的沉積係藉由電漿強化化學氣相沉積(PECVD)或電漿強化循環式化學氣相沉積(PECCVD)製程實行。
  7. 如申請專利範圍第1項之方法,其中該基材係選自由以下所組成的群組之材料:矽、鍺、氧化矽、氮化矽、碳化矽、碳氮化矽、摻碳的氧化矽、摻硼的矽、摻磷的矽、摻硼的氧化矽、摻磷的氧化矽、摻硼的氮化矽、摻磷的矽、氮化矽、銅、鎢、鋁、鈷、鎳、鉭、氮化鈦、氮化鉭、金屬氧化物、GaAs、InP、GaP及GaN及其組合。
  8. 如申請專利範圍第1項之方法,其中該第一電極係由選自由烷基金屬、金屬醯胺類、金屬烷氧化物及金屬鹵化物所組成的群組之前驅物所沉積的金屬。
  9. 如申請專利範圍第1項之方法,其另外包含在該多孔電阻性記憶體材料層沉積的期間添加摻雜劑。
  10. 如申請專利範圍第9項之方法,其中該摻雜劑係選自由Zn、Mg、B、P、As、S、Se及Te所組成的群組。
  11. 如申請專利範圍第1項之方法,其另外包含在該多孔電阻 性記憶體材料層沉積的期間添加金屬或金屬氧化物前驅物。
  12. 如申請專利範圍第11項之方法,其中該金屬或金屬氧化物係選自由以下所組成的群組:二乙基鋅、三甲基鋁、(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-二甲基戊二烯基)釕、(2,4-二甲基戊二烯基)(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕、六羰基第三丁基乙炔二鈷(CCTBA)或二羰基環戊二烯基鈷(CpCo(CO)2)、Ru3(CO)12;金屬醯胺類例如肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢、四氯化鉿、五氯化鉭及六氯化鎢。
  13. 如申請專利範圍第1項之方法,其中該第二含矽層係藉著至少一第二含矽前驅物的沉積形成,該至少一第二含矽前驅物係選自由單氯矽烷、單氯二矽烷、二異丙基胺基矽烷、二第二丁基胺基矽烷、二異丙基胺基二矽烷、二第二丁基胺基二矽烷、雙(第三丁基胺基)矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、三甲矽烷基胺及其衍生物、雙(二甲矽烷基胺基)矽烷及H2Si((NSiH3)2)2所組成的群組。
  14. 如申請專利範圍第1項之方法,其中該多孔電阻性記憶體材料層係選自由SiOx、SiOxH、Si、OxNy、SiOxNyH、SiOxCz、SiOxCzH及其組合所組成的群組,其中x、y及z各自等於或大於1或等於或小於2。
TW105107261A 2015-03-09 2016-03-09 用作爲電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法 TWI652842B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562130251P 2015-03-09 2015-03-09
US62/130,251 2015-03-09

Publications (2)

Publication Number Publication Date
TW201707250A TW201707250A (zh) 2017-02-16
TWI652842B true TWI652842B (zh) 2019-03-01

Family

ID=55809165

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105107261A TWI652842B (zh) 2015-03-09 2016-03-09 用作爲電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法

Country Status (8)

Country Link
US (1) US20180047898A1 (zh)
EP (1) EP3268997A1 (zh)
JP (1) JP6748098B2 (zh)
KR (1) KR102517882B1 (zh)
CN (1) CN107636852B (zh)
IL (1) IL254225B2 (zh)
TW (1) TWI652842B (zh)
WO (1) WO2016144960A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
JP2021523403A (ja) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN114207043B (zh) * 2019-08-09 2023-09-15 默克专利有限公司 低介电常数硅质膜制造用组合物和使用其来制造固化膜和电子器件的方法
CN114556527A (zh) * 2019-09-13 2022-05-27 弗萨姆材料美国有限责任公司 单烷氧基硅烷和二烷氧基硅烷以及由其制备的致密有机二氧化硅膜
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
CN111725398B (zh) * 2020-05-27 2022-03-15 北京航空航天大学 基于人工神经突触功能的双层多孔氧化物结构的制备方法
US11647680B2 (en) 2020-06-11 2023-05-09 International Business Machines Corporation Oxide-based resistive memory having a plasma-exposed bottom electrode
JP2022051104A (ja) * 2020-09-18 2022-03-31 キオクシア株式会社 スイッチング素子
KR102429240B1 (ko) * 2020-10-21 2022-08-03 성균관대학교산학협력단 절연층에 금속/이온 채널이 형성된 멤리스터 소자 및 이를 포함하는 저항변화 메모리 소자
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications
TWI773596B (zh) * 2021-11-24 2022-08-01 國立清華大學 無鉛金屬鹵化物憶阻器及其用途
CN114671710B (zh) * 2022-03-10 2023-04-07 西北工业大学 一种双周期多层TaC/HfC超高温陶瓷抗烧蚀涂层及制备方法
CN115959671A (zh) * 2022-12-28 2023-04-14 电子科技大学 多孔碳网络改性氧化亚硅复合负极材料及制备和应用

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014892A1 (en) 2009-08-14 2014-01-16 Intermolecular, Inc. Resistive-Switching Memory Element

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR101078125B1 (ko) * 2005-02-07 2011-10-28 삼성전자주식회사 다공성 물질을 이용한 비휘발성 나노 채널 메모리 소자
KR100668333B1 (ko) * 2005-02-25 2007-01-12 삼성전자주식회사 Pram 소자 및 그 제조방법
JP2007318067A (ja) * 2006-04-27 2007-12-06 National Institute For Materials Science 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US8592791B2 (en) 2009-07-31 2013-11-26 William Marsh Rice University Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
KR20110058031A (ko) 2009-11-25 2011-06-01 삼성전자주식회사 가변저항 메모리 장치의 제조 방법
JP5617915B2 (ja) * 2010-03-19 2014-11-05 日本電気株式会社 抵抗変化素子とそれを含む半導体装置及びこれらの製造方法
WO2012071100A1 (en) 2010-09-08 2012-05-31 William Marsh Rice University Siox-based nonvolatile memory architecture
JP5788274B2 (ja) * 2011-09-14 2015-09-30 ルネサスエレクトロニクス株式会社 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の製造方法
US20130175680A1 (en) * 2012-01-10 2013-07-11 International Business Machines Corporation Dielectric material with high mechanical strength
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8890109B2 (en) * 2012-12-20 2014-11-18 Intermolecular, Inc. Resistive random access memory access cells having thermally isolating structures
US20140306172A1 (en) * 2013-04-12 2014-10-16 Sony Corporation Integrated circuit system with non-volatile memory and method of manufacture thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140014892A1 (en) 2009-08-14 2014-01-16 Intermolecular, Inc. Resistive-Switching Memory Element

Also Published As

Publication number Publication date
IL254225B2 (en) 2024-03-01
US20180047898A1 (en) 2018-02-15
EP3268997A1 (en) 2018-01-17
CN107636852B (zh) 2021-06-25
JP6748098B2 (ja) 2020-08-26
WO2016144960A1 (en) 2016-09-15
KR20170127497A (ko) 2017-11-21
IL254225A0 (en) 2017-10-31
TW201707250A (zh) 2017-02-16
KR102517882B1 (ko) 2023-04-03
JP2018517274A (ja) 2018-06-28
CN107636852A (zh) 2018-01-26
IL254225B1 (en) 2023-11-01

Similar Documents

Publication Publication Date Title
TWI652842B (zh) 用作爲電阻性隨機存取記憶體的有機矽酸鹽玻璃膜的沉積方法
TW201835373A (zh) 用於沉積作為鐵電材料的矽摻雜氧化鉿的新配方
US7862857B2 (en) Scalable lead zirconium titanate (PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
US7825043B2 (en) Method for fabricating capacitor in semiconductor device
US20070014919A1 (en) Atomic layer deposition of noble metal oxides
JP2005314713A (ja) ルテニウム膜またはルテニウム酸化物膜の製造方法
KR101946091B1 (ko) 메모리 애플리케이션들을 위한 하프늄 및 지르코늄 산화물들의 원자층 증착
TW201835372A (zh) 用於沉積作為鐵電材料的矽摻雜氧化鉿的新配方
Fröhlich TiO2-based structures for nanoscale memory applications
US7499259B2 (en) Capacitor with hafnium, lanthanum and oxygen mixed dielectric and method for fabricating the same
US20110014770A1 (en) Methods of forming a dielectric thin film of a semiconductor device and methods of manufacturing a capacitor having the same
KR19990012246A (ko) 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
KR101628843B1 (ko) 원자층 증착법에 의한 루테늄 박막 형성 방법
KR20150101380A (ko) 원자층 증착법에 의한 루테늄 박막 형성 방법
KR100753037B1 (ko) 캐패시터 및 캐패시터 제조 방법
CN115992349A (zh) 使用脉冲化学气相沉积沉积氮化硼的方法和系统
JP2005166965A (ja) 薄膜製造方法
CN115968501A (zh) 用于铁电存储器的无碳层压氧化铪/氧化锆膜
CN114555859A (zh) 用于沉积硅掺杂的氧化铪的制剂
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
KR100367237B1 (ko) 반도체 기억소자용 전극구조의 제조방법
Park ALD2023 Session AF-MoP: ALD Fundamentals Poster Session
KR100306387B1 (ko) 반도체 기억소자용 전극구조 및 그 제조방법
Weber et al. Improving cmos performance by AVD® grown high-k dielectrics and advanced metal electrodes
박상현 Low Temperature Growth, Characterization and Resistive Switching Behavior of Silica Nanowires