JP2018517274A - 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス - Google Patents

抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス Download PDF

Info

Publication number
JP2018517274A
JP2018517274A JP2017547490A JP2017547490A JP2018517274A JP 2018517274 A JP2018517274 A JP 2018517274A JP 2017547490 A JP2017547490 A JP 2017547490A JP 2017547490 A JP2017547490 A JP 2017547490A JP 2018517274 A JP2018517274 A JP 2018517274A
Authority
JP
Japan
Prior art keywords
silicon
bis
precursor
tantalum
tert
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017547490A
Other languages
English (en)
Other versions
JP6748098B2 (ja
Inventor
ゴードン リッジウェイ ロバート
ゴードン リッジウェイ ロバート
ティー.サボ マイケル
ティー.サボ マイケル
レイモンド ニコラス バーティス
ニコラス バーティス レイモンド
ロバート エントリー ウィリアム
ロバート エントリー ウィリアム
レイ シンジエン
レイ シンジエン
ジャイルズ ランガン ジョン
ジャイルズ ランガン ジョン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2018517274A publication Critical patent/JP2018517274A/ja
Application granted granted Critical
Publication of JP6748098B2 publication Critical patent/JP6748098B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of the switching material, e.g. post-treatment, doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Abstract

抵抗ランダムアクセスメモリデバイスを形成するためのプロセスであって、基材上に第1電極を堆積する工程と、第1電極上に多孔質抵抗メモリ材料層を形成する工程であって、多孔質抵抗メモリ層が、(i)ケイ素前駆体及びポロゲン前駆体を含むガス状組成物を堆積することと、堆積後に(ii)組成物をUV放射にさらすことでポロゲン前駆体を除去することとにより形成される工程と、多孔質抵抗メモリ材料層の上部に第2電極を堆積する工程とを含むプロセス。

Description

本発明は、化学気相堆積技術を用いることで抵抗ランダムアクセスメモリ(RRAM)デバイスを製造するためのプロセスに関する。より具体的には、本発明は、プラズマ化学気相堆積(PECVD)プロセスを用い、ケイ素含有前駆体とポロゲン前駆体とのガス状混合物を堆積して、その後UV放射によりポロゲンを除去することで、抵抗ランダムアクセスメモリデバイスを製造することに関する。
抵抗ランダムアクセスメモリ(RRAM)は、誘電体の固状材料間の抵抗を変化させることで動作する非揮発性ランダムアクセス(RAM)コンピュータメモリの一種であって、しばしばメモリスタと称される。RRAMは、酸素空孔(酸素が除去された酸化物結合位置)として知られる薄い酸化物層中で欠陥を生成することを含み、その酸素空孔は、後に帯電して電場の下でドリフトすることができる。酸化物中の酸素イオンの動きと空孔とは、半導体中の電子の動きとホールとに類似している。
従来技術において、RRAMデバイスを製造するために一定範囲の材料及び方法が用いられている。例えば、米国特許出願公開第2011/124174号明細書では、可変抵抗半導体メモリデバイス及び可変抵抗メモリデバイスの電極を形成する方法であって、加熱電極を形成すること;その加熱電極上に可変抵抗材料層を形成すること;及びその可変抵抗材料層上に上部電極を形成することを含み、加熱電極が、原子半径がチタン(Ti)の原子半径よりも大きい金属の窒化物を含み、かつ、プラズマを使用せずに熱化学気相堆積(CVD)法を通じて形成される方法を提供している。
Egorov,K.Vらによる、Phys.Status Solidi A(2015)の「原子層堆積により成長したTiN/HfO2/TiNスタック中の抵抗スイッチングの相補的及びバイポーラ領域(Complementary and bipolar regimes of resistive switching in TiN/HfO2/TiN stacks grown by atomic−layer deposition)」と題した文献では、抵抗ランダムアクセスメモリのメモリ部材用の完全にALD成長した平面TiN/HfO2/TiNの金属−絶縁体−金属構造を得るのに使用される、真空XPS分析と組み合わせた原子層堆積(ALD)技術を説明している。
Goren,Eらによる、Condens.Matter:1〜15(2014)の「メモリ用途用TiOxナノ粒子層中の抵抗スイッチング現象(Resistive switching phenomena in TiOx nanoparticle layers for memory applications)」と題した文献では、2つの異なる方法:ALD又はゾルゲルで製作されたCo/TiOx/Co抵抗メモリデバイスの電気特性を提供している。
Kim,K.Mらによる、Adv.Funct.Mater.25:1527〜1534(2015)の「均一なマルチレベル抵抗変化を示すTa25/TaOxメモリスタ中の自己制御スイッチング(Self−Limited Switching in Ta25/TaOx Memristors Exhibiting Uniform Multilevel Changes in Resistance)」と題した文献では、遷移金属酸化物に基づく多くの抵抗スイッチングメモリ中のフィラメントスイッチング機構のランダム性により引き起こされる、スイッチングの非均一性の問題の解決方法を説明している。
Mikhaylov,A.Nらによる、Materials Science and Engineering:B194:48〜54(2015)の「酸化ケイ素メモリスタ中の電荷輸送及びバイポーラ抵抗スイッチング(Bipolar resistive switching and charge transport in silicon oxide memristor)」と題した文献では、TiN/Tiで金属化されたSiO2/Si基材上にマグネトロンスパッタ技術により堆積したSiOx系薄膜メモリスタ構造中の再現可能なバイポーラ抵抗スイッチングを説明している。
米国特許出願公開第2013/264536号明細書では、(1)基材と、(2)基材と関連する電気スイッチと、(3)絶縁層と、(3)抵抗メモリ材料とを含むメモレジスタ(memreistor)セルの様々な実施形態を説明している。抵抗メモリ材料は、SiOx、SiOxH、SiOxy、SiOxyH、SiOxz、SiOxzH、及びそれらの組み合わせからなる群より選択され、式中、x、y及びzのそれぞれは、1以上又は2以下である。この発明の追加の実施形態では、(1)複数のビット線と、(2)ビット線と直角の複数のワード線と、(3)ワード線とビット線との間に位置した複数の前記メモレジスタセルとを含むメモレジスタアレイに関する。この発明の更なる実施形態では、前記メモレジスタセル及びアレイの製造方法を提供している。
Wang,Gらによる、Nano Letters 14(8):4694〜4699(2014)の「ナノ多孔質酸化ケイ素メモリ(Nanoporous Silicon Oxide Memory)」と題した文献では、次世代の非揮発性メモリについて考慮されるべき、酸化物系2端子抵抗ランダムアクセスメモリを説明している。RRAMメモリ構造は、その内部の鉛直ナノギャップを通じてユニポーラスイッチングが可能であるナノ多孔質酸化ケイ素(SiOx)材料を用いている。
Yao,Jらによる、Nano Lett.10(10):4105〜4110(2010)の「酸化ケイ素からの抵抗スイッチ及びメモリ(Resistive switches and memories from silicon oxide)」と題した文献では、電子デバイスの構成中の受動絶縁部品としてのSi酸化物(SiOx)の使用を説明している。
Yao,Jらによる、Journal of the American Chemical Society 133(4):941〜948の「分子エレクトロニクス及びナノエレクトロニクスの研究のための非無垢な表面(Silicon Oxide:A Non−innocent Surface for Molecular Electronics and Nanoelectronics Studies)」と題した文献では、支持及び絶縁媒体としての酸化ケイ素(SiOx)の使用を説明している。
YaO,Jらによる、Sci.Rep.2(2012)の「酸化ケイ素抵抗スイッチにおける導電フィラメントのその場画像法(In situ imaging of the conducting filament in a silicon oxide resistive switch)」と題した文献では、異なる電気的刺激に反応してケイ素ナノ結晶が成長及び収縮することは、ケイ素形態でエネルギー的に実行可能な遷移プロセスを示すことを説明しており、スイッチング現象に対する証拠を提供している。この文献はまた、電子デバイスのホストにおいて普遍的である、酸化ケイ素層でのブレークダウンプロセスへの理解を提供している。
Zhang,H.Zらによる、Appl.Phys.Lett(2014)の「TiN/HfOx/TiN抵抗メモリデバイスでの相補型抵抗スイッチングに対する界面層の役割(Role of interfacial layer on complementary resistive switching in the TiN/HfOx/TiN resistive memory device)」と題した文献では、TiN/HfOx/IL/TiN抵抗メモリデバイス中で、安定した相補型抵抗スイッチング(CRS)を可能にする下部界面層(IL)の役割を説明している。安定したCRSは、下部ILがHfOx層の原子層堆積の初期段階の間にTiNの酸化から生じたTi亜酸化物及びHfを含むTiN/HfOx/IL/TiNデバイスに対して得られる。下部ILの形成が不活性Pt金属により抑えられたTiN/HfOx/Ptデバイス中では、CRSは観測されなかった。ILとHfOx層中の導電パスとの間での酸素イオン交換が提供されることで、TiN/HfOx/IL/TiNデバイス中で観測された相補型バイポーラスイッチング挙動が引き起こされる。
Zhou,Fらによる、Applied Physics Letters105(13)(2014)の「ユニポーラ型SiOx系抵抗スイッチングメモリ中の性能の最適化及び外部抵抗効果の特徴づけ(Characterization of external resistance effect and performance optimization in unipolar−type SiOx−based resistive switching memory)」と題した文献では、金属−絶縁体−金属の構造を持つSiOx系抵抗ランダムアクセスメモリデバイスを、金属−絶縁体−半導体の構造と比較し、デバイス性能への外部抵抗の効果を特徴付けしている。
しかしながら、上記のプロセスにおいて、SiOx膜を堆積すること及び欠陥を作り出すことはが別々の独立した工程として教示されており、それは、よく知られた高容量の製造方法の使用としては不十分かつ経済的に不利であり、幾つかのツールはそのようなプロセスに容易に適用することができない。同一のプロセスプラットフォーム内での一連の工程において堆積及び欠陥生成を一緒にしたプロセスが望まれている。
1つの態様において、本発明は、抵抗ランダムアクセスメモリデバイスを形成するためのプロセスであって、基材上に第1電極を堆積する工程と、第1電極上に多孔質抵抗メモリ材料層を形成する工程であって、多孔質抵抗メモリ層が、(i)ケイ素前駆体及びポロゲン前駆体を含むガス状組成物を堆積することと、堆積後に(ii)UV放射に組成物をさらすことでポロゲン前駆体を除去することとにより形成される工程と、多孔質抵抗メモリ材料層の上部に第2電極を堆積する工程とを含むプロセスを提供する。
本発明の方法により製造された鉛直配向電子デバイスの概略的図示を示す。 本発明の方法により製造された別の鉛直配向電子デバイスの概略的図示を示す。 高い電位が印加され、ハードブレークダウン又は短絡がSiOx膜内で生じるまで、導電性の増加を示さない順方向電圧スイープの電圧に対する電流のプロットを図示しているのに対して、逆方向スイープは、0ボルトにスイープバックする間に電流密度が高いままであるため、短絡の影響を示している。 電圧に対する電流のプロットを図示しており、緑色の順方向スイープが、極めて低い印加電圧での導電性の大きな増加を示しており、SiOx膜は極めて漏洩性(leaky)であるか又は導電性であり、極めて低い電位でハードブレークダウンが生じている。 ヒステリシスな電流を示している電圧に対する電流のプロット、すなわち、約3.5Vでの活性化及び約10Vでの不活性化を示す電圧スイープを図示している。 様々なポロゲン:構造形成体比を使用して堆積したSiOxの電圧に対する電流のプロットを図示しており、28Vの印加電圧での誘電体のハードブレークダウンを示している。 様々なポロゲン:構造形成体比を使用して堆積したSiOxの電圧に対する電流のプロットを図示しており、抵抗メモリスイッチングデバイスのヒステリシスな電流−電圧プロファイルを示している。 様々なポロゲン:構造形成体比を使用して堆積したSiOxの電圧に対する電流のプロットを図示しており、極めて低い印加電位でブレークダウンし、メモリスイッチングデバイスとして提供するのに十分に絶縁していない膜のプロファイルを示している。 80:20のポロゲン:構造形成体比を使用して堆積した多孔質PECVD系SiOx膜についてのヒステリシスプロファイルを示している、電圧に対する電流のプロットを図示している。 85:15のポロゲン:構造形成体比を使用して堆積した多孔質PECVD系SiOx膜についてのヒステリシスプロファイルを示している、電圧に対する電流のプロットを図示している。 長時間にわたって1VでON及びOFF状態を読み取った場合に対する、多孔質PECVDのSiOx膜の信号保持のプロットを図示している。 1000サイクルの間に多孔質PECVDのSiOx膜について実証されたメモリスイッチング安定性を示すプロットを図示している。
本発明の実施形態は、以下で詳細に説明される。実施形態を説明するにあたり、明確にするために具体的な用語が使用される。しかしながら、本発明では、そのように選択された具体的な用語に限定されることは意図されない。具体的な例示の実施形態が説明されるが、これは例示の目的のみのためになされることが理解されるべきである。当業者は、本発明の趣旨及び範囲から逸脱することなく、別の部材及び構成を使用することができると理解する。本明細書で引用される全ての文献は、まるでそれぞれが個々に組み込まれているように、参照することにより組み込まれる。
本発明は、抵抗ランダムアクセスメモリデバイスを形成するためのプロセスであって、基材上に第1電極を堆積する工程と、第1電極に多孔質抵抗メモリ材料層を形成する工程であって、多孔質抵抗メモリ層が、(i)ケイ素前駆体及びポロゲン前駆体を含むガス状組成物を堆積することと、堆積した後に(ii)UV放射に組成物をさらすことでポロゲン前駆体を除去することとにより形成される工程と、多孔質抵抗メモリ材料層の上部に第2電極を堆積する工程とを含むプロセスを提供する。
本発明に従って製造されたデバイスは、好ましくはRRAMデバイスであり、その装置は、半導体基材と、導電材料を含む複数の電極と、少なくとも1つの多孔質ケイ素含有材料を含む抵抗メモリ材料と、絶縁材料で構成された少なくとも1つの誘電体材料とを含み、複数の電極の少なくとも一部は抵抗メモリ材料と隣接しており、装置は半導体基材の表面上に堆積される。
酸化ケイ素、特に二酸化ケイ素(SiO2)は、電子デバイスの構造における受動絶縁部材(すなわち、低−k材料)であると長い間考えられてきた。しかしながら、本明細書で示される実施形態においては、酸化ケイ素(例えば、SiO2及びSiOx)は、スイッチ可能な導電状態に変換される際に、電子デバイス中で活性スイッチング材料及び電子移送要素として作用することができると示される。如何なる理論又は機構により拘束されるわけではないが、酸化ケイ素含有電子デバイスに適切な大きさの1つ又は複数の電圧パルス又はスイープを適用することにより、一般的に非導電性酸化ケイ素マトリクスを通るスイッチ可能な導電経路の形成が引き起こされる。1つ又は複数の高電圧パルス又はスイープは、一般的に、酸化ケイ素のソフトブレークダウン電位の電圧以上であるが、ハードブレークダウンが起こる電圧未満である。適切な大きさの電圧パルス又はスイープを適用することにより、電極端子間で電子移送を補助する酸化ケイ素マトリクス内に、ケイ素ナノ結晶、ケイ素ナノワイヤ、又は金属フィラメントを含有するスイッチ可能な導電経路の形成がもたらされる。スイッチ可能な導電経路は、十分な大きさの電圧パルスを印加することで破壊することができ、次いで、より低い大きさの電圧パルスを印加することで再形成することができる。導電経路の破壊及び再形成は、それぞれ、メモリデバイスにおけるOFF及びON状態の動作に対応しており、電子デバイスがメモリ要素及びメモリスタとして明確なOFF及びONで動作することを可能とする。
様々な実施形態において、本明細書で開示されるプロセスで作製された電子デバイスは、第1電気接触部と第2接触部とを含み、それらはその2つの間でギャップ領域を画定するように配置される。スイッチ可能な導電性酸化ケイ素を含有するスイッチング層は、ギャップ領域に存在する。少なくとも第1電気接触部は基材上に堆積される。電子デバイスは、ヒステリシスな電圧に対する電流の特性を示す。
幾つかの実施形態において、スイッチ可能な導電性酸化ケイ素は、欠陥含有SiO2である。そのような欠陥含有SiO2は、ギャップ領域に存在するSiO2から作り出すことができる。本発明の好ましい実施形態において、以下でより詳細に説明されるように、欠陥含有SiO2は、SiO2マトリクスからポロゲンを除去することで発生する。
本明細書で使用される場合、「スイッチ可能な導電性酸化ケイ素」という用語は、例えば、ソフトブレークダウン電圧以上であるが、ハードブレークダウン電圧(すなわち、短絡を引き起こす電圧)未満で活性化した後に、ヒステリシスな電圧に対する電流の挙動を示す酸化ケイ素を言い表す。ヒステリシスな電圧に対する電流の挙動のため、スイッチ可能な導電性酸化ケイ素を含有する電子デバイスは、実質的に導電性である少なくとも1つのON状態と、実質的に非導電性である少なくとも1つのOFF状態とを有する。如何なる理論又は機構に拘束されるわけではないが、ケイ素−ケイ素結合は、ケイ素ナノ結晶の形態でケイ素−酸素結合に置き換えられて、存在する酸化ケイ素材料中でスイッチ可能な導電経路を形成すると考えられる。
幾つかの実施形態において、スイッチ可能な導電性酸化ケイ素は、非化学量論的な酸化ケイ素SiOxである。幾つかの実施形態において、SiOxは、一酸化ケイ素と二酸化ケイ素との間の化学量論性を有する(例えば、xは1より大きく2未満である)。より具体的な実施形態において、xは1.5〜2の範囲である。さらにより具体的な実施形態において、xは1.6〜1.8又は1.9〜2の範囲である。他の実施形態において、SiOxは、一酸化ケイ素未満の化学量論性を有する(例えば、xは0より大きく1未満である)。
RRAM用途は、印加電場を通じて化学的に変化することができる欠陥又は孔が作られるように誘電体が堆積されて、その誘電体を通じてスイッチ可能な導電性をもたらすという点で、低k用途とは異なる。膜内のSi−Si結合のような特徴は、そのような特性を達成することができる。多孔質低k用途においては、Si−Si結合は、膜の絶縁特性の劣化を引き起こす場合がある。
RRAM電子デバイスは、様々な配向で構築することができる。幾つかの実施形態において、電子デバイスは、基材と離間した第1電気接触部及び第2電気接触部と共に水平配向であり、スイッチング層は、第1電気接触部と第2電気接触部の間で基材上に存在する。本発明のプロセスは、図1に関連して例として挙げられ、図1は例示の水平配向電子デバイス10の概略的図示を示す。
本発明のプロセスの第1工程は、基材12上に第1電極14を堆積することである。好ましくは、基材12は半導体基材である。半導体基材は、以下:ケイ素、ゲルマニウム、酸化ケイ素、窒化ケイ素、炭化ケイ素、炭窒化ケイ素、炭素ドープ酸化ケイ素、ホウ素ドープケイ素、リンドープケイ素、ホウ素ドープ酸化ケイ素、リンドープ酸化ケイ素、ホウ素ドープ窒化ケイ素、リンドープ窒化ケイ素、金属(例えば、銅、タングステン、アルミニウム、コバルト、ニッケル、タンタル)、金属窒化物、例えば、窒化チタン、窒化タンタル、金属酸化物、III/V、例えば、GaAs、InP、GaP及びGaN、並びにそれらの組み合わせから選択される材料であることができる。
電極は、任意の適切な導電材料、例えば、Au、Pt、Cu、Al、ITO、グラフェン、及び高ドープSi又は任意の他の適切な金属若しくは合金から作ることができる。
第1電極14の導電材料は、以下の堆積プロセス:物理気相堆積、化学気相堆積、MOCVD、及び原子層堆積のうち1つを使用して堆積することができる。1つの特定の実施形態において、第1電極14は、ALDプロセスを使用して堆積される。この実施形態において、導電材料は、以下の化合物:アルキル金属、金属アミド、及び金属ハライドから選択される有機金属前駆体を使用して堆積することができる。
電極層の厚さは必要に応じて又は堆積プロセスに応じて変えることができる。例えば、ALDにより堆積された場合は、電極層の厚さは典型的に10〜20nmである。
ALD又はMOCVD堆積プロセスについて、電極材料を堆積するために使用するのに適した前駆体としては、例えば、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−ジメチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、ビス(エチルシクロペンタジエニル)ルテニウム;金属カルボニル、例えば、ジコバルトヘキサカルボニルt−ブチルアセチレン(CCTBA)又はシクロペンタジエニルコバルトジカルボニル(CpCo(CO)2)、Ru3(CO)12;金属アミド、例えば、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン;金属ハライド、例えば、ハフニウムテトラクロリド、タンタルペンタクロリド、タングステンヘキサクロリドが挙げられる。
次に、本発明のプロセスは、第1電極上に多孔質抵抗メモリ材料層を形成する工程を含み、多孔質抵抗メモリ材料層は、(i)ケイ素前駆体及びポロゲン前駆体を含むガス状組成物を堆積することと、堆積した後に(ii)UV放射に組成物をさらすことでポロゲン前駆体を除去することとによって形成される。
図1を参照すると、本発明のプロセスは、抵抗メモリ材料層16として用いられる多孔質ケイ素含有材料又は膜を提供する。好ましくは、堆積された多孔質ケイ素含有材料層16は、酸化ケイ素、炭素ドープ酸化ケイ素、酸窒化ケイ素、窒化ケイ素、炭素ドープ窒化ケイ素、多孔質酸化ケイ素、多孔質炭素ドープ酸化ケイ素からなる群より選択され、それは、従来の化学気相堆積法、例えば、低圧化学気相堆積(LPCVD)、化学気相堆積(CVD)、又はプラズマ化学気相堆積(PECVD)を使用して、テトラエトキシシランのようなケイ素前駆体又は任意の他のケイ素前駆体で堆積することができる。
好ましくは、1つ又は複数の多孔質ケイ素含有膜は、プラズマ化学気相堆積(PECVD)又は原子層堆積(ALD)プロセスを使用して堆積することができる。PECVDが好ましい。多孔質ケイ素含有膜は単一層又は複数層であることができる。幾つかの実施形態において、多孔質ケイ素含有膜は、ケイ素前駆体及びポロゲン前駆体を含む組成物からPECVDプロセスを使用して堆積され、炭素の量をケイ素前駆体及びポロゲンの選択を通じて制御して、適切な末端メチル、最適な架橋炭素、多孔質膜のための最適な非晶質非晶質炭素を得る。炭素含有量及びタイプは、最適化された電鋳条件(例えば、電極間での最低印加電圧)を提供する欠陥密度を有する最終的な膜の後硬化処理を提供するために最適化される。
多孔質ケイ素含有膜のPECVD堆積は、堆積された膜の孔密度を制御するために調整することができる。孔サイズは、他の堆積技術と比較して、PECVD使用した場合は本質的に小さいか又は微小孔である。孔密度、したがって孔相互接続長を制御するために最適化した堆積は、得られる抵抗メモリ材料のスイッチング性能を向上し、電鋳電位を低減し、装置上のセット及びリセット電位を低減する。この又は代替的な実施形態において、多孔質ケイ素含有膜の孔密度は、ケイ素前駆体/ポロゲンの混合比を含む堆積パラメータによって制御することができる。
多孔質ケイ素含有材料又は膜(すなわち、抵抗メモリ材料層16)は、ケイ素前駆体とポロゲン前駆体とのガス状混合物を含む組成物を使用して堆積される。例示のケイ素前駆体としては、限定されないが、テトラエトキシシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジ−3級ブトキシメチルシラン、ジ−3級ペントキシメチルシラン、ジ−3級ブトキシシラン、ジ−3級ペントキシシラン、メチルトリアセトキシシラン、ジメチルアセトキシシラン、ジメチルジアセトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリメトキシシラン、ジアセトキシメチルシラン、フェニルジメトキシシラン、フェニルジエトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、フェニルメチルジメトキシシラン、1,3,5,7−テトラメチルテトラシクロシロキサン、オクタメチルテトラシクロシロキサン、1,1,3,3−テトラメチルジシロキサン、1−ネオヘキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、ヘキサメチルジシロキサン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1,2−ジアセトキシ−1,2−ジエトキシジシラン、1,3−ジメチル−1,3−ジエトキシジシロキサン、1,3−ジメチル−1,3−ジアセトキシジシロキサン、1,2−ジメチル−1,1,2,2−テトラアセトキシジシラン、1,2−ジメチル−1,1,2,2−テトラエトキシジシラン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1−アセトキシ−2−エトキシジシラン、メチルアセトキシ(3級)ブトキシシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ヘキサメチルジシラン、テトラメチルジシラン、ジメチルジシラン、ヘキサメチルジシロキサン(HMDSO)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TMCTS)、ビス(トリエトキシシリル)メタン、ビス(トリエトキシシリル)エタン、ビス(トリメトキシシリル)メタン、ビス(トリメトキシシリル)エタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)エタン、ビス(メチルジエトキシシリル)メタン、(ジエトキシメチルシリル)(ジエトキシシリル)メタン、及びそれらの混合物が挙げられる。
多孔質層の好ましい厚さは約40〜60nmである。その範囲はより薄いか又はより厚いことができ、所望の膜の特性に応じて場合によって20〜120nmである。20nmを大きく下回ると、極めて漏洩性になることがある。100〜120nmを大きく超過すると、ソフトブレークダウンを得るのがより困難になる。
本発明で使用するのに適した他のケイ素前駆体としては、米国特許第6846515号明細書、同第7384471号明細書、同第7943195号明細書、同第8293001号明細書、同第9061317号明細書、同第8951342号明細書、同第7404990号明細書、同第7470454号明細書、同第7098149号明細書及び同第7468290号明細書に開示されているものを含み、それらの開示は参照することにより本明細書に組み込まれる。
好ましい実施形態において、ケイ素前駆体はテトラエトキシシラン、ジ−3級ブトキシシラン、又はそれらの混合物である。
好ましくは、ケイ素前駆体と混合されるポロゲン前駆体は、アルファ−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ガンマ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテン酸化物、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン、及びデカヒドロナフタレンからなる群より選択される少なくとも1つである。
別の実施形態において、多孔質ケイ素含有材料は、2つ以上のケイ素前駆体及びポロゲン前駆体を含む組成物を使用して堆積することができる。これらの実施形態において、ポロゲンは、アルファ−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ガンマ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテン酸化物、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン、及びデカヒドロナフタレンからなる群より選択される少なくとも1つであり、ケイ素前駆体は前述した化合物のリストから選択される。
用いられる場合は、誘電体材料及び抵抗メモリ材料は、同一のプロセス条件又は異なるプロセス条件の下で1つ又は複数の同一のケイ素前駆体を使用して堆積することができる。他の実施形態において、誘電体材料及び抵抗メモリ材料は、同一のプロセス条件又は異なるプロセス条件の下で1つ又は複数の異なるケイ素前駆体を使用して堆積することができる。
更なる実施形態において、多孔質ケイ素含有膜は、多孔質ケイ素含有膜のPECVD堆積の間にドーパントを加えることでドープすることができる。ドーパントは、限定されないが、Zn、Mg、B、P、As、S、Se及びTeを含むグループII〜VIの元素からなる群より選択することができる。そのようなドーパントはアルコキシド(ホウ酸トリメチル、ホウ酸トリエチル、リン酸トリメチル、亜リン酸トリメチル)、ハイドライド(AsH3、PH3、H2Se、H2Te)、ジメチル亜鉛、ジメチルマグネシウム、ジメチルテルル化物、ジメチルセレン化物、トリメチルホスフィン、トリメチルアルシン、又はジエトキシメチルシリルホスフィンのようなケイ素含有前駆体に束縛されたドーパントとして共堆積することができる。
別の実施形態において、多孔質ケイ素含有膜の抵抗挙動を改善するために、金属又は金属酸化物を多孔質ケイ素含有膜に加えることができる。物理気相堆積(PVD)及び金属酸化物化学気相堆積(MOCVD)を、堆積された金属に使用することができるが、酸化物の孔が典型的に10nm未満であるためPVD又はALDが好まれる。多孔質ケイ素含有膜に加えられた金属の濃度は、RRAMデバイスとして動作する場合に低導電状態と高導電状態間の抵抗差を保つために、制御することができる。使用することができる例示の金属前駆体としては、限定されないが、金属アルキル、例えば、ジエチル亜鉛、トリメチルアルミニウム、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−ジメチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、ビス(エチルシクロペンタジエニル)ルテニウム;金属カルボニル、例えば、ジコバルトヘキサカルボニルt−ブチルアセチレン(CCTBA)又はシクロペンタジエニルコバルトジカルボニル(CpCo(CO)2)、Ru3(CO)12;金属アミド、例えば、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン;金属ハライド、例えば、ハフニウムテトラクロリド、タンタルペンタクロリド、タングステンヘキサクロリドが挙げられる。
また、更なる実施形態において、多孔質ケイ素含有材料又は層16は、多孔質ケイ素含有膜内に組み込むことができるか、又は代替的に多孔質ケイ素含有膜に隣接する第2ケイ素含有層を含むことができる。この実施形態において、ケイ素含有層は、周期的化学気相堆積(CCVD)又は原子層堆積を通じて堆積することができる。1つの特定の実施形態において、第2ケイ素含有層は、SiH3又はSiH2基からなる膜の単一層を含み、すなわち、第2ケイ素含有前駆体を導入して、多孔質ケイ素含有材料の内部の孔の表面と反応させることで、Si−OHをSi−O−SiH3又はSi−O−SiH2に変換し、それにより、一連のプロセスで電鋳法によりナノケイ素粒子に変換することができる。第2ケイ素含有膜を堆積するための第2ケイ素含有前駆体の例としては、限定されないが、(a)クロロシラン、例えば、モノクロロシラン及びモノクロロジシラン;(b)有機アミノシラン、例えば、ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ジイソプロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ビス(tert−ブチルアミノ)シラン、ビス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス(エチルメチルアミノ)シラン;(c)トリシリルアミン及びその誘導体;並びに(d)ビス(ジシリルアミノ)シランH2Si((NSiH322が挙げられる。幾つかの実施形態において、堆積された高密有機ケイ酸ガラスを硬化することを用いて、様々な炭素レベルの膜を得ることができ、幾つかの方法で達成することができる。
以下は、多孔質ケイ素含有膜を形成又は最適化するための例示の方法である:
(a)UV放射及びオゾンを使用して、孔を作り出し全ての揮発性残留物を剥離し、次いで、0.001未満の極めて低い消散係数を有する多孔質ケイ素含有膜が生じる;
(b)H2プラズマと組み合わせた広帯域UVを使用して、孔を作り出し、Siと結合した水素で置換してSi−CH3を剥離する。そのようなSi−H結合は、活性化のための要求電位を下げて電鋳プロセスにおいて電位欠陥部位として作用する;及び/又は
(c)EUV(<176nm)を使用して、孔を作り出し、Si−Hで置換してSi−CH3を剥離する。そのようなSi−H結合は、活性化のための要求電位を下げて電鋳プロセスにおいて電位欠陥部位として作用する。
有機ケイ酸膜からポロゲンを選択的に除去するための光硬化は、以下の条件下で行われる。
環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、窒素酸化物など)、又は還元(例えば、希釈又は濃縮炭化水素、水素など)であることができる。温度は周辺温度〜500℃であることが好ましい。電力は0〜5000Wであることが好ましい。波長はIR、可視光、UV、又は深UV(波長<200nm)であることが好ましい。全体の硬化時間は0.01分間〜12時間であることが好ましい。
堆積された膜内のポロゲンは、反応チャンバーに導入されたポロゲンと同一形態であることがあるか又は同一形態でないことがある。また、ポロゲン除去プロセスは、ポロゲン又はその断片を膜から解放することができる。実際には、ポロゲン反応剤、予備膜内のポロゲン、及び除去されるべきポロゲンは、同一の種であることがあるか又は同一の種でないことがあるが、それらはすべてポロゲン反応剤(又はポロゲン置換基)から生じることが好ましい。ポロゲンが本発明のプロセスを通じて不変であるかどうかに関係なく、「ポロゲン」という用語は、本明細書で使用される場合、本発明の全体のプロセスを通じてそれらが発見される如何なる形態において、孔形成反応剤(又は孔形成置換基)及びその誘導体を包含することが意図される。
抵抗メモリ材料の総多孔率は、所望の最終膜の特性及びプロセス条件に応じて5〜75%であることができる。そのような膜は2.0g/ml未満、又は代替的に1.5g/ml若しくは1.25g/mlの密度を有することが好ましい。好ましくは、本発明の抵抗メモリ材料は、ポロゲンを含まずに製造された同様のケイ素含有膜より、少なくとも10%低い密度、より好ましくは少なくとも20%低い密度を有する。
本発明の方法はまた、多孔質抵抗メモリ材料層16の上部に第2電極18を堆積する工程を含む。第1電極14と関連して上で説明した導電材料及び同一のプロセスを用いて、第2電極18を堆積することができる。
装置内に収容された材料の1つ又は複数を形成するための本明細書で説明される堆積方法の幾つかの実施形態は、未消費の反応剤及び/又は反応副産物をパージするための1つ又は複数のパージガスを使用する。1つ又は複数の適切なパージガスは、デバイスを堆積するために使用される前駆体と反応しないガスである。例示のパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びそれらの組み合わせが挙げられる。
ケイ素含有前駆体、ポロゲン前駆体、酸素含有源、窒素含有源、還元剤、他の前駆体、及び/又はそれらの組み合わせに対してエネルギーを適用することで、反応を誘発してケイ素含有膜又はコーティングを基材上に形成する。そのようなエネルギーは、限定されないが、熱、プラズマ、マイクロ波プラズマ、パルス化プラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ法、及びそれらの組み合わせによって提供することができる。幾つかの実施形態において、二次RF周波数源を使用して、基材表面でのプラズマ特性を改質することができる。堆積がプラズマを含む実施形態において、プラズマ生成プロセスは、プラズマが反応器内で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外部で生成され反応器に供給されるリモートプラズマ生成プロセスを含むことができる。
前駆体を反応チャンバー、例えば、PECVD又はALD反応器に様々な方法で輸送することができる。1つの実施形態において、液体輸送システムを用いることができる。代替実施形態において、複合液体輸送及びフラッシュ気化プロセスユニット、例えば、Shoreview,MNのMSP Corporation製のターボ気化装置を用いることができ、低揮発性材料を容量輸送することが可能となり、それにより、前駆体の熱分解なく再現可能な輸送及び堆積がもたらされる。液体輸送配合物中において、本明細書で説明される前駆体は原型形態で輸送することができるか、又は代替的に、溶媒の配合物又はそれを含む組成物で用いることができる。したがって、幾つかの実施形態において、基材上に膜を形成するための所望の最終使用用途において望ましく有利であることができるように、前駆体配合物は、適切な性質の1つ又は複数の溶媒成分を含むことができる。
幾つかの実施形態において、前駆体キャニスタから反応チャンバーまで接続しているガスラインは、プロセスの要求に応じて1つ又は複数の温度に加熱され、少なくとも1つのケイ素含有前駆体の容器はバブリングのために1つ又は複数の温度に保たれる。他の実施形態において、少なくとも1つのケイ素含有前駆体を含む溶液は、直接液体噴射のための1つ又は複数の温度に保たれた気化装置内に噴射される。
堆積のための堆積チャンバー又は反応器の温度は、以下の端点:周辺温度又は25℃;100℃;200℃;250℃;300℃;350℃;400℃;450℃;500℃及びそれらの任意の組み合わせのうちの1つからの範囲であることができる。この点において、堆積のための堆積チャンバー又は反応器の温度は周辺温度〜1000℃、約150℃〜約400℃、約200℃〜約400℃、約300℃〜600℃、又は本明細書で説明された温度の端点の任意の組み合わせの範囲であることができる。
反応器又は堆積チャンバーの圧力は約0.1〜約760Torrの範囲であることができ、好ましくは10Torr未満である。前駆体、酸素源、窒素源、並びに/又は他の前駆体、源、ガス、及び/若しくは反応剤を供給するそれぞれの工程は、それらを供給する時間を変更して得られるケイ素含有膜の化学量論的組成を変化させることで行うことができる。
本発明のプロセスで製造することができるデバイスの構成の例は、米国特許第9129676号明細書において確認することができ、それは参照することにより本明細書に組み込まれる。
本発明は、以下の例に関連してより詳細に例示されるが、本発明がそれに限定されることは認められないことが理解されるべきである。
以下の例は、膜を堆積し、膜内に孔を作り出すために使用されるプロセス条件に対して得られたデバイスの結果を示す。
全ての実験は、Advanced Energy 2000高周波発生器を備えた200mmDxZチャンバーにおいて、Applied Materials Precision−5000システム上で、非ドープTEOSプロセスキットを使用して行った。レシピは以下の基本的な工程:初期設定及びガス流の安定化、堆積、並びにウエハ取り外し前のチャンバーのパージ/排気を含んでいた。
膜を堆積した後、メモリテスト構造を以下のようにウエハ上に構築した。金から作られた上部電極を多孔質酸化物上に堆積した。低抵抗Si基材は下部電極として提供した。合計5個のメモリセルアレイを構築して、それぞれがウエハにわたり20個のセルを含んていた。
多孔質誘電体の両端での電流−電圧スイープを使用して、ウエハごとに全ての100個のセル又はデバイスを試験した。電流−電圧のプロファイルを使用して、メモリスイッチングユニットとして動作するデバイスが、誘電体のハードブレークダウンが発生するまで非導電性であるか、又は導電性であるか、又は低印加電圧で漏洩性であるかを決定した。これらの3つの条件のうち2つ(ハードブレークダウン、漏洩セル)は失敗したセルを示す。明確なセット及びリセットの点を持つヒステリシスな電圧−電流スイープは、動作するスイッチ可能なメモリデバイスを示す。図2では、電流−電圧スイープを得るためのテスト構造を図示している。図3A〜Cでは、a)ハードブレークダウンが発生するまで十分に導電性でないセル、b)低印加電圧で極めて導電性又は漏洩性であるセル、又はc)スイッチングメモリデバイスとして適切なヒステリシスな電流−電圧スイープを示すセルに対して得られた3つの反応を示している。具体的には、図3Aでは、高電位が印加され、ハードブレークダウン又は短絡がSiOx膜内で発生するまで導電性の増加を示さない順方向電圧スイープを図示している。逆方向スイープは、0ボルトにスイープバックする間に電流密度が高いままであるため、短絡の影響を示している。図3Bでは、順方向スイープが極めて低い印加電圧での導電性の大きな増加を示しており、SiOx膜が極めて漏洩性又は導電性であって、極めて低い電位でハードブレークダウンが生じることを示している。図3Cでは、抵抗メモリデバイスのヒステリシスな電流−電圧プロファイルを示すヒステリシスな電流−電圧スイープを図示している。
基材コンディショニング
本発明で使用される基材のワークは低抵抗率p型Si(0.005Ω・cm)であった。室温で、これらの基材は約8〜10Aの表面の自然酸化物を含み、それは欠陥のない高品質の熱酸化物であった。この自然酸化物は、Si基材への欠陥駆動(defect driven)導電経路の完成を防ぐ場合があると想定される。SiOx膜の堆積の前に、幾つかのウエハについて、高密熱SiOx自然酸化物表面を除去した。評価した除去の第1の方法は、希釈(5%)HF溶液を使用したウェットエッチであった。ウエハを、撹拌しながら10分間希釈HF溶液に浸して、次いでDI水で洗浄して乾燥した。次に、表面の再酸化を防ぐために、これらのウエハを自然酸化物剥離の5分以内に堆積用のP5000に移動させた。
自然酸化物のHF除去への代替アプローチは、その場プラズマ又はリモートプラズマ源(RPS)系プラズマを使用して、自然酸化物をエッチするFラジカルを生成することであった。このプロセスにおいて、ウエハを堆積チャンバー中に設置して、その場NF3又はRPS NF3プラズマが点火して、自然酸化物を剥離するために使用した。以下の表Iで示されるように、自然酸化物を除去するためのプラズマ系アプローチはスイッチングメモリデバイスについての歩留りを有意に改善すると判明した。
例1
850mg/分のシクロオクタン流;150mg/分のDEMS流;100sccmのCO2キャリアガス;20sccmのO2;700ワットの印加プラズマ電力;8Torrのチャンバー圧力;300℃のサセプタ温度;45〜55nmの事前UV硬化膜厚を作る90秒間の堆積時間のプロセス条件を使用してSiOx膜を堆積することによって、自然酸化物の除去プロセスの比較を行った。3つの基材コンディショニング法:希釈HFウェットエッチと、その場NF3プラズマと、自然酸化物の剥離無しとを評価した。2つの20個のデバイスアレイについての試験結果を表Iに示した。自然酸化物を除去するために使用されたその場NF3プラズマは、20個のデバイス/アレイで最も高い歩留りを与えた。
Figure 2018517274
例2
3つの異なるポロゲン:構造形成体の混合比を使用することで、電気スイッチング特性に基づいて膜の多孔率の比較を行った。これらは、70%ポロゲン/30%構造形成体;80%ポロゲン/20%構造形成体;90%ポロゲン/10%構造形成体を含んでいた。SiOx膜の導電性を増加させることは、電流が膜を通過することを可能にするのに十分な欠陥密度を生成することを要求すると考えられる。これを達成するための2つのアプローチは、孔サイズ又は孔密度に基づく。5〜10nmのメソ孔の使用により、一方の電極から他方の電極に相互接続された連続多孔質ネットワークを作り出すことができる。PECVDを使用して堆積した多孔質膜は、典型的に、2nm未満の直径を有する微小孔又は孔を作り出す。孔サイズがより小さくなると、典型的に比多孔率と表される孔容積又は孔密度は、導電経路を構築するためにより重要になる。多孔質SiOx膜に対するPECVDの適用において、他の因子の中からポロゲンに対する構造形成体の比を選択することで孔密度を制御することができる。不十分な孔密度が存在している場合は、電極間の導電経路は構築されず、膜は最終的にハードブレークダウンが発生する。多孔率が極めて大きい場合、これは、導電性に影響を与える因子、例えば、膜内の炭素の量及びタイプと組み合わさり、SiOx系多孔質膜に、低印加電位での導電性及び短絡を引き起こすか、又は電流がOFF状態における電極間で漏洩する(漏洩電流が極めて高い)場合がある。最適な多孔率は、比較的低電圧でセットし、より高い電圧でリセットし、印加電圧が変化した場合に前後にスイッチングすることができるヒステリシスな電流−電圧スイープを持つ膜を提供する。以下の3つの膜を同様の条件下で堆積した。合計で1000mg/分の前駆体流を使用した。70:30の場合では、これは700mg/分のシクロオクタンと300mg/分のTEOSとで構成され、80:20の場合では、800mg/分のシクロオクタンと200mg/分のTEOSとで構成され、90:10の場合では、900mg/分のシクロオクタンと100mg/分のTEOSとで構成されていた。TEOS及びシクロオクタンに対して100sccmのCO2のキャリアガス流をそれぞれ使用した。O2流は20sccm、プラズマ電力は700ワット、チャンバー圧力は8Torr、堆積温度は300℃であった。全ての3つの条件について、45〜55nmの厚さを持つ膜を堆積して、そして、次に広帯域UV源を使用して90秒間アニールして、ポロゲンを除去し、孔を生成した。膜の孔容積を、エリプソポロシメトリ法(Ellipsometric Porosimetry)(EP)により決定し、X線光電子分光法(XPS)により炭素含有量を決定し、値は以下の表IIに示される。予期されたように、最も高いポロゲン:構造形成体比(90:10)を持つプロセスでは、最も高い多孔率及び炭素含有量を示した。これらの3つの膜を使用してメモリデバイスを構築し、上で説明したように試験した。各膜について得られた電流−電圧プロファイルは図4A〜Cに示される。具体的には、図4Aでは、28Vの印加電位での誘電体のハードブレークダウンを示している。膜は約25%の孔密度と、極めて低い残留炭素とを有していた。図4Bでは、抵抗メモリスイッチングデバイスのヒステリシスな電流−電圧プロファイルを示している。この膜は25%超の孔密度と、10%未満の炭素含有量とを有していた。図4Cでは、極めて低い印加電位でブレークダウンする膜のプロファイルを示しており、メモリスイッチングデバイスとして作用するのに十分に絶縁されていない。この膜は30%超の多孔率と、20%超の残留単層とを有していた。高い多孔率と残留炭素との組み合わせは、低印加電位での早期のブレークダウンをもたらす場合がある。
Figure 2018517274
デバイスの結果は、図3Aに示されるような不十分な多孔率を持つ膜では、電流−電圧プロファイルに示されるように、欠陥駆動ソフトブレークダウンが発生せず、ハードブレークダウンが発生するか、又は膜が不可逆的に短絡する結果になったことを示した。デバイスの結果はまた、高い多孔率及び高い残留炭素含有量を持つ膜が、低印加電圧で極めて容易に導電性又は漏洩性になる場合があることを示した。25%超の多孔率及び20%未満の炭素含有量を持つ膜はメモリスイッチング能力を実証した。膜内の多孔率の量及び炭素含有量は、膜を堆積及び硬化するために使用される堆積及び硬化条件に応じて変更可能である。
例3
要求された基材コンディショニングと、導電経路が膜の全体の厚さを横断することを可能とするのに十分な孔密度とが現れた後、80:20及び85:15のポロゲン:構造形成体比を使用して、膜を堆積して試験した。これらの膜を十分に長い時間硬化して、炭素含有量を20%以下まで低減した。堆積条件は、構造形成体TEOS(150又は200mg/分)と、シクロオクタン(850又は800mg/分)との合計1000mg/分の前駆体流;各前駆体について100sccmのCO2キャリアガス;20sccmのO2流;700ワットのRF電力;8Torrのチャンバー圧力;300℃の堆積温度で構成されていた。45〜60nmの厚さを持つ膜を堆積して、広帯域のUV源を使用して90秒間使用してUV硬化した。次に、その膜を使用して図2に示されるようなメモリデバイスを構築した。図5A及び5Bに示される代表的な電流−電圧スイーププロファイルを持つスイッチング能力について膜を評価して、それにより、80:20(5A)及び85:15(5B)のポロゲン:構造形成体比を使用して堆積された多孔質PECVD系SiOx膜についてのヒステリシスなプロファイルを実証した。両方の膜は、約3.5〜4.5Vのソフトブレークダウン及び約10Vの不活性化を示した。
両方の膜は、抵抗メモリスイッチング媒体として使用するための電位を示しているヒステリシスなスイッチング特性を示した。多孔率及び炭素含有量の具体的な膜特性を以下の表IIIで示した。
Figure 2018517274
例4
多孔質PECVDのSiOx系膜の成功した配置に対する重要な構成要素は、長時間ON−OFF状態又はプログラムされた導電性を保持するための能力である。このメモリの保持を、図5Bで堆積された膜から製作したデバイス上で試験し、図6Aに示した。1Vの印加電位で電流を測定した場合、104A/cm2超の電流密度の差を105秒間維持した。
多孔質PECVDのSiOx系膜の成功した配置に対する別の重要な構成要素は、多数回のスイッチングサイクルの間、導電状態から非導電状態にスイッチするための能力である。PECVD系多孔質SiOx膜のプログラム機能を、1Vで測定された電流で、導電又はON状態から絶縁又はOFF状態へのスイッチングを繰り返すことで試験した。各状態について測定した電流を図6Bに示し、デバイスは、103のスイッチングサイクルの間、導電状態間で103超の電流密度の差を提供することがわかった。
本明細書で例示され説明された実施形態は、本発明を作り使用するための本発明者らにとって公知である最良の方法を、当業者に教示することのみが意図される。本明細書中のいずれのものも、本発明の範囲を限定するとしてみなされないべきである。示された全ての例は代表的なものであり、非限定的である。上で説明した本発明の実施形態は、本発明を逸脱することなく、上記教示に照らして当業者により理解されるように、変更または変形することができる。本発明は広口容器に関して説明されているが、本発明に係るパネル湾曲の機能は、標準最終品(すなわち、最終品の広口のネックでない)で動作すべきである。したがって、特許請求の範囲に記載の範囲及びそれらの等価物内で、本発明は、具体的に説明されたものとは別の方法で実施することができることが理解されるべきである。

Claims (15)

  1. 抵抗ランダムアクセスメモリデバイスを形成するためのプロセスであって、
    基材上に第1電極を堆積する工程と、
    前記第1電極上に多孔質抵抗メモリ材料層を形成する工程であって、前記多孔質抵抗メモリ層が、(i)ケイ素前駆体及びポロゲン前駆体を含むガス状組成物を堆積することと、堆積後に(ii)前記組成物をUV放射にさらすことで前記ポロゲン前駆体を除去することとにより形成される工程と、
    前記多孔質抵抗メモリ材料層の上部に第2電極を堆積する工程と
    を含むプロセス。
  2. 前記ケイ素前駆体が、テトラエトキシシラン、ジエトキシメチルシラン、ジメトキシメチルシラン、ジ−(3級)ブトキシメチルシラン、ジ−3級ペントキシメチルシラン、ジ−3級ブトキシシラン、ジ−3級ペントキシシラン、メチルトリアセトキシシラン、ジメチルアセトキシシラン、ジメチルジアセトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリメトキシシラン、ジアセトキシメチルシラン、フェニルジメトキシシラン、フェニルジエトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、フェニルメチルジメトキシシラン、1,3,5,7−テトラメチルテトラシクロシロキサン、オクタメチルテトラシクロシロキサン、1,1,3,3−テトラメチルジシロキサン、1−ネオヘキシル−1,3,5,7−テトラメチルシクロテトラシロキサン、ヘキサメチルジシロキサン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1,2−ジアセトキシ−1,2−ジエトキシジシラン、1,3−ジメチル−1,3−ジエトキシジシロキサン、1,3−ジメチル−1,3−ジアセトキシジシロキサン、1,2−ジメチル−1,1,2,2−テトラアセトキシジシラン、1,2−ジメチル−1,1,2,2−テトラエトキシジシラン、1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン、1,2−ジメチル−1−アセトキシ−2−エトキシジシラン、メチルアセトキシ(3級)ブトキシシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ヘキサメチルジシラン、テトラメチルジシラン、ジメチルジシラン、ヘキサメチルジシロキサン(HMDSO)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TMCTS)、ビス(トリエトキシシリル)メタン、ビス(トリエトキシシリル)エタン、ビス(トリメトキシシリル)メタン、ビス(トリメトキシシリル)エタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)エタン、ビス(メチルジエトキシシリル)メタン、(ジエトキシメチルシリル)(ジエトキシシリル)メタン、及びそれらの混合物からなる群より選択される少なくとも1つである、請求項1に記載のプロセス。
  3. 前記ケイ素前駆体が、ジ−3級ブトキシシラン、ジ−3級ペントキシシラン、テトラエトキシシラン(TEOS)、テトラメトキシシラン、及びそれらの混合物からなる群より選択される、請求項2に記載のプロセス。
  4. 前記ポロゲンが、アルファ−テルピネン、リモネン、シクロヘキサン、シクロオクタン、ガンマ−テルピネン、カンフェン、ジメチルヘキサジエン、エチルベンゼン、ノルボルナジエン、シクロペンテン酸化物、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン、及びデカヒドロナフタレンからなる群より選択される少なくとも1つである、請求項1に記載のプロセス。
  5. 前記ポロゲンが、ノルボルナジエン、アルファ−テルピネン又はシクロオクタンを含む、請求項3に記載のプロセス。
  6. ケイ素前駆体及びポロゲン前駆体を含む前記ガス状組成物が、プラズマ化学気相堆積(PECVD)又はプラズマ周期的化学気相堆積(PECCVD)プロセスのいずれかで堆積される、請求項1に記載のプロセス。
  7. 前記基材が、ケイ素、ゲルマニウム、酸化ケイ素、窒化ケイ素、炭化ケイ素、炭窒化ケイ素、炭素ドープ酸化ケイ素、ホウ素ドープケイ素、リンドープケイ素、ホウ素ドープ酸化ケイ素、リンドープ酸化ケイ素、ホウ素ドープ窒化ケイ素、リンドープケイ素、窒化ケイ素、銅、タングステン、アルミニウム、コバルト、ニッケル、タンタル、窒化チタン、窒化タンタル、金属酸化物、GaAs、InP、GaP及びGaN、並びにそれらの組み合わせからなる群より選択される材料である、請求項1に記載のプロセス。
  8. 前記第1電極が、アルキル金属、金属アミド、金属アルコキシド、及び金属ハライドからなる群より選択される前駆体から堆積された金属である、請求項1に記載のプロセス。
  9. 前記ケイ素前駆体及び前記ポロゲン前駆体を含む前記ガス状組成物を堆積する場合に、ドーパントを加えることをさらに含む、請求項1に記載のプロセス。
  10. 前記ドーパントが、Zn、Mg、B、P、As、S、Se、及びTeからなる群より選択される、請求項9に記載のプロセス。
  11. 前記ケイ素前駆体及び前記ポロゲン前駆体を含む前記ガス状組成物を堆積する場合に、金属又は金属酸化物の前駆体を加えることをさらに含む、請求項1に記載の方法。
  12. 前記金属又は金属酸化物が、ジエチル亜鉛、トリメチルアルミニウム、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−ジメチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、ビス(エチルシクロペンタジエニル)ルテニウム、ジコバルトヘキサカルボニルt−ブチルアセチレン(CCTBA)又はシクロペンタジエニルコバルトジカルボニル(CpCo(CO)2)、Ru3(CO)12;金属アミド、例えば、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン、ハフニウムテトラクロリド、タンタルペンタクロリド、及びタングステンヘキサクロリドからなる群より選択される、請求項11に記載のプロセス。
  13. 第2多孔質ケイ素含有層を堆積する工程をさらに含む、請求項1に記載のプロセス。
  14. 前記第2多孔質ケイ素含有層が、モノクロロシラン、モノクロロジシラン、ジイソプロピルアミノシラン、ジ−sec−ブチルアミノシラン、ジイソプロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ビス(tert−ブチルアミノ)シラン、ビス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス(エチルメチルアミノ)シラン、トリシリルアミン及びその誘導体、ビス(ジシリルアミノ)シラン、並びにH2Si((NSiH322からなる群より選択される少なくとも1つの第2ケイ素含有前駆体を堆積することによって形成される、請求項13に記載のプロセス。
  15. 前記多孔質抵抗メモリ材料層が、SiOx、SiOxH、SiOxy、SiOxyH、SiOxz、SiOxzH、及びそれらの組み合わせからなる群より選択され、式中のx、y、及びzのそれぞれが1以上又は2以下である、請求項1に記載のプロセス。
JP2017547490A 2015-03-09 2016-03-08 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス Active JP6748098B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562130251P 2015-03-09 2015-03-09
US62/130,251 2015-03-09
PCT/US2016/021377 WO2016144960A1 (en) 2015-03-09 2016-03-08 Process for depositing porous organosilicate glass films for use as resistive random access memory

Publications (2)

Publication Number Publication Date
JP2018517274A true JP2018517274A (ja) 2018-06-28
JP6748098B2 JP6748098B2 (ja) 2020-08-26

Family

ID=55809165

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017547490A Active JP6748098B2 (ja) 2015-03-09 2016-03-08 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス

Country Status (8)

Country Link
US (1) US20180047898A1 (ja)
EP (1) EP3268997A1 (ja)
JP (1) JP6748098B2 (ja)
KR (1) KR102517882B1 (ja)
CN (1) CN107636852B (ja)
IL (1) IL254225B2 (ja)
TW (1) TWI652842B (ja)
WO (1) WO2016144960A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022530698A (ja) * 2019-08-09 2022-06-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 低誘電率シリカ質膜製造組成物および硬化膜の製造方法およびそれを用いた電子デバイス

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP2022547588A (ja) * 2019-09-13 2022-11-14 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー モノアルコキシシラン及びジアルコキシシラン、並びにそれらから作られる高密度の有機シリカ膜
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN111725398B (zh) * 2020-05-27 2022-03-15 北京航空航天大学 基于人工神经突触功能的双层多孔氧化物结构的制备方法
US11647680B2 (en) 2020-06-11 2023-05-09 International Business Machines Corporation Oxide-based resistive memory having a plasma-exposed bottom electrode
JP2022051104A (ja) * 2020-09-18 2022-03-31 キオクシア株式会社 スイッチング素子
KR102429240B1 (ko) * 2020-10-21 2022-08-03 성균관대학교산학협력단 절연층에 금속/이온 채널이 형성된 멤리스터 소자 및 이를 포함하는 저항변화 메모리 소자
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications
TWI773596B (zh) * 2021-11-24 2022-08-01 國立清華大學 無鉛金屬鹵化物憶阻器及其用途
CN114671710B (zh) * 2022-03-10 2023-04-07 西北工业大学 一种双周期多层TaC/HfC超高温陶瓷抗烧蚀涂层及制备方法
CN115959671A (zh) * 2022-12-28 2023-04-14 电子科技大学 多孔碳网络改性氧化亚硅复合负极材料及制备和应用

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007318067A (ja) * 2006-04-27 2007-12-06 National Institute For Materials Science 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
JP2008199028A (ja) * 2007-02-15 2008-08-28 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
WO2011115188A1 (ja) * 2010-03-19 2011-09-22 日本電気株式会社 抵抗変化素子とそれを含む半導体装置及びこれらの製造方法
JP2013062408A (ja) * 2011-09-14 2013-04-04 Renesas Electronics Corp 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の製造方法
US20130140511A1 (en) * 2009-08-14 2013-06-06 Intermolecular, Inc. Resistive-switching memory element
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用
JP2014207451A (ja) * 2013-04-12 2014-10-30 ソニー株式会社 不揮発性メモリを備えた集積回路システム及びその製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) * 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR101078125B1 (ko) * 2005-02-07 2011-10-28 삼성전자주식회사 다공성 물질을 이용한 비휘발성 나노 채널 메모리 소자
KR100668333B1 (ko) * 2005-02-25 2007-01-12 삼성전자주식회사 Pram 소자 및 그 제조방법
US8592791B2 (en) 2009-07-31 2013-11-26 William Marsh Rice University Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof
KR20110058031A (ko) 2009-11-25 2011-06-01 삼성전자주식회사 가변저항 메모리 장치의 제조 방법
WO2012071100A1 (en) 2010-09-08 2012-05-31 William Marsh Rice University Siox-based nonvolatile memory architecture
US20130175680A1 (en) * 2012-01-10 2013-07-11 International Business Machines Corporation Dielectric material with high mechanical strength
US8890109B2 (en) * 2012-12-20 2014-11-18 Intermolecular, Inc. Resistive random access memory access cells having thermally isolating structures

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007318067A (ja) * 2006-04-27 2007-12-06 National Institute For Materials Science 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
JP2008199028A (ja) * 2007-02-15 2008-08-28 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
US20130140511A1 (en) * 2009-08-14 2013-06-06 Intermolecular, Inc. Resistive-switching memory element
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
WO2011115188A1 (ja) * 2010-03-19 2011-09-22 日本電気株式会社 抵抗変化素子とそれを含む半導体装置及びこれらの製造方法
JP2013062408A (ja) * 2011-09-14 2013-04-04 Renesas Electronics Corp 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の製造方法
JP2013155173A (ja) * 2012-01-27 2013-08-15 Air Products & Chemicals Inc アルコキシアミノシラン化合物およびその用途
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用
JP2014207451A (ja) * 2013-04-12 2014-10-30 ソニー株式会社 不揮発性メモリを備えた集積回路システム及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022530698A (ja) * 2019-08-09 2022-06-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 低誘電率シリカ質膜製造組成物および硬化膜の製造方法およびそれを用いた電子デバイス
JP7170921B2 (ja) 2019-08-09 2022-11-14 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 低誘電率シリカ質膜製造組成物および硬化膜の製造方法およびそれを用いた電子デバイス

Also Published As

Publication number Publication date
JP6748098B2 (ja) 2020-08-26
US20180047898A1 (en) 2018-02-15
KR20170127497A (ko) 2017-11-21
IL254225B1 (en) 2023-11-01
IL254225A0 (en) 2017-10-31
CN107636852B (zh) 2021-06-25
WO2016144960A1 (en) 2016-09-15
KR102517882B1 (ko) 2023-04-03
CN107636852A (zh) 2018-01-26
EP3268997A1 (en) 2018-01-17
TW201707250A (zh) 2017-02-16
IL254225B2 (en) 2024-03-01
TWI652842B (zh) 2019-03-01

Similar Documents

Publication Publication Date Title
JP6748098B2 (ja) 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス
JP7230126B2 (ja) 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
JP5048476B2 (ja) 絶縁膜または金属膜を形成する方法
KR100670747B1 (ko) 반도체소자의 캐패시터 제조 방법
Lee et al. Influences of metal, non-metal precursors, and substrates on atomic layer deposition processes for the growth of selected functional electronic materials
JP7202423B2 (ja) 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
CN100547795C (zh) 具有纳米复合电介质层的电容器及其制造方法
TWI680982B (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷
JP2005314713A (ja) ルテニウム膜またはルテニウム酸化物膜の製造方法
CN113039309A (zh) 使用钌前驱物的等离子体增强原子层沉积(peald)方法
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
KR20240008929A (ko) 안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법
JP2022516238A (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
CN114555859A (zh) 用于沉积硅掺杂的氧化铪的制剂
CN115992349A (zh) 使用脉冲化学气相沉积沉积氮化硼的方法和系统
Park ALD2023 Session AF-MoP: ALD Fundamentals Poster Session
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
JP2019031477A (ja) Ge含有Co膜形成材料、Ge含有Co膜およびその成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200430

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200707

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200806

R150 Certificate of patent or registration of utility model

Ref document number: 6748098

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250