TWI635363B - 圖案形成方法 - Google Patents

圖案形成方法 Download PDF

Info

Publication number
TWI635363B
TWI635363B TW105117808A TW105117808A TWI635363B TW I635363 B TWI635363 B TW I635363B TW 105117808 A TW105117808 A TW 105117808A TW 105117808 A TW105117808 A TW 105117808A TW I635363 B TWI635363 B TW I635363B
Authority
TW
Taiwan
Prior art keywords
group
carbon atoms
ether
methyl
acetate
Prior art date
Application number
TW105117808A
Other languages
English (en)
Other versions
TW201706717A (zh
Inventor
畠山潤
阿達鐵平
Original Assignee
信越化學工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 信越化學工業股份有限公司 filed Critical 信越化學工業股份有限公司
Publication of TW201706717A publication Critical patent/TW201706717A/zh
Application granted granted Critical
Publication of TWI635363B publication Critical patent/TWI635363B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • G03F7/2055Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser for the production of printing plates; Exposure of liquid photohardening compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/387Esters containing sulfur and containing nitrogen and oxygen
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • B05D1/005Spin coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/007After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • C08F220/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • C08L33/16Homopolymers or copolymers of esters containing halogen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2205/00Polymer mixtures characterised by other features
    • C08L2205/02Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group
    • C08L2205/025Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group containing two or more polymers of the same hierarchy C08L, and differing only in parameters such as density, comonomer content, molecular weight, structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明提供一種圖案形成方法,於浸潤微影,光阻膜表面之滑水性份外提高,圖案形成後之邊緣粗糙度(LWR)可為小,又,於EB或EUV微影,抑制散逸氣體發生,LWR可為小。一種圖案形成方法,包括以下步驟:塗佈含有含氟原子之聚合物、因酸而鹼溶解性提高或降低之基礎樹脂、酸產生劑及有機溶劑之光阻組成物;於大氣壓下之沸點為60~250℃之溶劑氣體環境下於50~300℃之溫度進行烘烤;曝光;及顯影。

Description

圖案形成方法
本發明係關於在半導體元件等製造步驟之微細加工使用之圖案形成方法。
伴隨LSI之高密集化與高度化,圖案規則之微細化急速進展。微細化急速進步的背景可列舉投影透鏡之高NA化、光阻組成物之性能改善、短波長化。
KrF準分子雷射(248nm)用光阻組成物一般係於0.3微米處理開始使用,並適用直到0.13微米規則的量產。藉由從KrF向ArF準分子雷射(193nm)的波長的短波長化,能使設計規則之微細化成為0.13μm以下,但以往使用的酚醛清漆樹脂、聚乙烯基苯酚系之樹脂在193nm附近帶有非常強的吸收,故無法作為光阻用之基礎樹脂。為了確保透明性與必要之乾蝕刻耐性,有人探討丙烯酸系之樹脂、環烯烴系之脂環族系之樹脂,並已進行使用ArF微影之器件之量產。
於次一45nm節點器件,曝光波長之短波長化更推進,可列舉波長157nm之F2 微影為候選者。但是投影透鏡大量使用昂貴的CaF2 單晶會造成掃描曝光機成本升高、軟式防護膠片的耐久性極低而導入硬式防護膠片導致光學系變更、光阻之蝕刻耐性降下等各種問題,故F2 微影延遲,有人提出提早導入ArF浸潤微影,且使用其之45nm節點之器件已在量產。32nm節點器件之量產係採用使用側壁間隔件技術之雙重圖案化,但處理之複雜度、長度成為問題。
為了提高ArF浸潤曝光機之產能,掃描曝光機之掃描速度提高。所以,需要提高和浸潤水接觸之光阻表面之滑水性。為了使光阻表面之撥水性提高,已有人開發出添加了氟系之浸潤光阻(專利文獻1、2)。如此的撥水性聚合物在光阻組成物中和基礎樹脂、酸產生劑等混合,於旋塗後配向在光阻表面而使撥水性提高。又,撥水性聚合物具有氟醇基,會溶於鹼顯影液,故有顯影後之缺陷發生少的優點。為了回復因雙重圖案化所致之生產性降低,曝光機製造商已進行為了使曝光機之掃描速度更加快的開發,伴隨於此,需使光阻表面之滑水性更提高。
32nm以後的器件,期待不使用高處理成本之雙重圖案化,而使用曝光波長採用短1個位數以上而提高解像性之波長13.5nm之極端紫外光(EUV)微影。
EUV微影中,若雷射功率低,因為反射鏡的光衰減導致光量降低會使得到達晶圓面之光強度低。為了能以低光量運作產能,急需開發出高感度光阻。但是若光阻感度提高,會有解像度、邊緣粗糙度(LER、LWR)劣化的問題,有人指摘和感度處於取捨關係。
為了抑制在曝光中從EUV光阻膜發生散逸氣體,有人提出添加將有氟醇基之重複單元及有芳香族基之重複單元予以共聚合而得之聚合物的光阻組成物(專利文獻3)。旋塗後,前述聚合物會配向在光阻膜表面,芳香族基遮蔽來自光阻膜之散逸氣體。
有人提出光阻之旋塗於溶劑氣體環境下進行之方法(專利文獻4)、旋塗後之預烘烤於減壓之溶劑氣體環境下進行之方法(專利文獻5)。任一方法皆能以少量分配而塗佈光阻組成物,是為了進一步改善光阻膜平坦化之方法。
有人探討使用嵌段共聚物之自組裝現象(Directed self-assembly (DSA))之圖案化。為了自組裝,需於200℃以上加熱數小時,為了能以短時間進行,於溶劑氣體環境下進行加熱係有效。藉由使溶劑向嵌段共聚物滲透,聚合物之移動性提高,自組裝之速度提高。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開2006-48029號公報 [專利文獻2]日本特開2008-122932號公報 [專利文獻3]日本特開2014-67012號公報 [專利文獻4]日本特開2003-68632號公報 [專利文獻5]日本特開2003-17402號公報
[發明欲解決之課題] 本發明有鑑於前述情事,目的為提供一種圖案形成方法,其於浸潤微影,光阻膜表面之滑水性更為提高,圖案形成後之邊緣粗糙度(LWR)可為小,且於電子束(EB)或EUV微影,可抑制散逸氣體發生,使LWR為小。 [解決課題之方式]
本案發明人等為了達成前述目的而努力研究,結果發現在使用含有預定之含氟原子之聚合物之光阻組成物之圖案形成方法,光阻組成物塗佈後之烘烤在溶劑氣體環境下進行,則前述含氟原子之聚合物配向在光阻表面之速度加快,表面配向之比例可提高,藉此可達成前述目的,乃完成本發明。
亦即,本發明提供下列圖案形成方法。 1.一種圖案形成方法,包括以下步驟: 塗佈包括含氟原子之聚合物、鹼溶解性因酸而提高或降低之基礎樹脂、酸產生劑及有機溶劑之光阻組成物; 於大氣壓下之沸點為60~250℃之溶劑氣體環境下,於50~300℃之溫度進行烘烤;曝光;及顯影。 2.如1.圖案形成方法,其中,利用於溶劑氣體環境下之烘烤,該含氟原子之聚合物覆蓋光阻膜表面。 3.如1.或2.之圖案形成方法,其中,該大氣壓下之沸點為80~250℃溶劑係碳數4~10之酯系溶劑、碳數5~10之酮系溶劑、碳數8~12之醚系溶劑、碳數7~12之芳香族系溶劑或碳數4~8之醯胺系溶劑。 4.如1.至3.中任一項之圖案形成方法,其中,碳數4~10之酯系溶劑係丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丙醚乙酸酯、丙二醇單丁醚乙酸酯、丙二醇單第三丁醚乙酸酯、丙酮酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯或乙酸2-苯基乙酯,碳數5~10之酮系溶劑為2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁酮、甲基環己酮、苯乙酮、甲基苯乙酮、環戊酮、環己酮、環辛酮或甲基-2-正戊酮,碳數8~12之醚系溶劑為二正丁醚、二異丁醚、二第二丁醚、二正戊醚、二異戊醚、二第二戊醚、二第三戊醚、二正己醚或苯甲醚,碳數7~12之芳香族系溶劑為甲苯、二甲苯、乙基苯、異丙基苯、第三丁基苯或均三甲苯,碳數4~8之醯胺系溶劑為N,N-二甲基乙醯胺、N,N-二乙基乙醯胺、N,N-二甲基丙醯胺、N-乙基丙醯胺或三甲基乙醯胺。 5.如1.至4.中任一項之圖案形成方法,其中,該含氟原子之聚合物含有α-三氟甲基羥基或氟磺醯胺基且溶於鹼顯影液。 6.如5.之圖案形成方法,其中,該含氟原子之聚合物含有下式(1)表示之重複單元及/或下式(2)表示之重複單元; 【化1】式中,R1 及R4 各自獨立地為氫原子或甲基;R2 為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基、或伸苯基。R3 為氫原子、氟原子、甲基、三氟甲基或二氟甲基,也可以和R2 鍵結形成環,環之中也可以含有醚基、經氟取代之伸烷基或三氟甲基;R5 為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基;R6 為經氟化之碳數1~10之直鏈狀、分支狀或環狀之烷基或苯基;m為1或2;m=1的情形,X1 為單鍵、伸苯基、-O-、-C(=O)-O-R7 -或-C(=O)-NH-R7 -,R7 為碳數1~10之直鏈狀、分支狀或環狀之伸烷基,也可以含有酯基或醚基;m=2的情形,X1 為苯三基、-C(=O)-O-R8 =或-C(=O)-NH-R8 =,R8 為從碳數1~10之直鏈狀、分支狀或環狀之伸烷基脫去1個氫原子而得之基,也可以含有酯基或醚基;X2 為單鍵、伸苯基、-O-、-C(=O)-O-R7 -或-C(=O)-NH-R7 -;a1及a2係符合0≦a1<1.0、0≦a2<1.0及0.5≦a1+a2≦1.0之正數。 7.如1.至6.中任一項之圖案形成方法,係使用波長248nm之KrF準分子雷射、波長193nm之ArF準分子雷射、波長3~15nm之極端紫外光、或電子束進行曝光。 8.如7.之圖案形成方法,其中,曝光係利用ArF準分子雷射進行之浸潤微影。 9.如1.至8.中任一項之圖案形成方法,其中,該基礎樹脂含有下式(7)表示之重複單元及/或下式(8)表示之重複單元; 【化2】式中,R10 及R12 各自獨立地為氫原子或甲基;R11 及R14 各自獨立地為氫原子或酸不安定基;Y1 為單鍵、伸苯基、伸萘基或-C(=O)-O-R15 -,R15 為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基、或伸苯基或伸萘基;Y2 為單鍵、伸苯基、伸萘基、-C(=O)-O-R16 -、-C(=O)-NH-R16 -、-O-R16 -或-S-R16 -,R16 為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基;R13 單鍵、或為也可以含有醚基或酯基之碳數1~16之直鏈狀、分支狀或環狀之2~5價之脂肪族烴基、或伸苯基;d1及d2為符合0≦d1<1.0、0≦d2<1.0及0<d1+d2≦1.0之正數;n為1~4之整數。 10.如1.至9.中任一項之圖案形成方法,其中,該含氟原子之聚合物係以相對於該基礎樹脂100質量份為0.1~15質量份之範圍添加。 [發明之效果]
依照本發明之圖案形成方法,藉由使將含有預定之含氟原子之聚合物與基礎樹脂之光阻組成物塗佈於基板上後之預烘烤在溶劑氣體環境下進行,前述含氟原子之聚合物向光阻膜表面之配向速度提高,含氟原子之聚合物與基礎樹脂之分離性能提高。藉此,浸潤微影中,光阻膜之撥水性、滑水性提高(亦即,滑移角減小,後退接觸角增大),故曝光機之掃描速度能提高,產能提高,且圖案形成後之LWR能減小。又,EB或EUV微影中,能利用含氟原子之聚合物以良好效率被覆表面,故可抑制在真空中之曝光中發生散逸氣體,LWR可為小。
本發明之圖案形成方法包括以下步驟:塗佈包括含氟原子之聚合物、鹼溶解性因酸而提高或降低之基礎樹脂、酸產生劑及有機溶劑之光阻組成物;在大氣壓下之沸點為60~250℃之溶劑氣體環境下,於50~300℃之溫度進行烘烤;曝光;及顯影。
[光阻組成物] [含氟原子之聚合物] 就前述含氟原子之聚合物而言,宜包括下式(1)表示之含有α-三氟甲基醇基之重複單元(以下稱為重複單元a1)及/或下式(2)表示之含有氟磺醯胺基之重複單元(以下稱為重複單元a2)較佳。 【化3】
式中,R1 及R4 各自獨立地為氫原子或甲基。R2 為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基、或伸苯基。R3 為氫原子、氟原子、甲基、三氟甲基或二氟甲基,也可以和R2 鍵結形成環,環之中也可以含有醚基、經氟取代之伸烷基或三氟甲基。R5 為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基。R6 為經氟化之碳數1~10之直鏈狀、分支狀或環狀之烷基或苯基。m為1或2。m=1的情形,X1 為單鍵、伸苯基、-O-、-C(=O)-O-R7 -或-C(=O)-NH-R7 -,R7 為碳數1~10之直鏈狀、分支狀或環狀之伸烷基,也可以含有酯基或醚基。m=2的情形,X1 為苯三基、-C(=O)-O-R8 =或-C(=O)-NH-R8 =,R8 為從碳數1~10之直鏈狀、分支狀或環狀之伸烷基脫去1個氫原子而得之基,也可以含有酯基或醚基。X2 為單鍵、伸苯基、-O-、-C(=O)-O-R7 -或-C(=O)-NH-R7 -。a1及a2係符合0≦a1<1.0、0≦a2<1.0及0.5≦a1+a2≦1.0之正數。
給予前述重複單元a1之單體可列舉如下但不限於此等。又,下式中,R1 同前述。 【化4】
【化5】
【化6】
【化7】
【化8】
【化9】
【化10】
給予前述重複單元a2之單體可列舉如下但不限於此等。又,下式中,R4 同前述。
【化11】
前述含氟原子之聚合物也可更含有包括經氟化之烷基或芳基之重複單元a3。給予前述重複單元a3之單體可列舉如下但不限於此等。又,下式中,R4 同前述。 【化12】
【化13】
【化14】
【化15】
【化16】
前述含氟原子之聚合物添加在光阻組成物時,為了使EUV光之透明性提高並減少從光阻膜內發生之散逸氣體,也可以含有對於EUV光之吸收少之含多量烴之含芳香族基之重複單元。作為如此的重複單元,可列舉下式來自(3)~(6)表示之甲基丙烯酸酯類、乙烯醚類、苯乙烯類、乙烯基萘類、二苯乙烯(stilbene)類、苯乙烯基萘類、二萘乙烯類、乙烯合萘類、茚類、苯并呋喃類或苯并噻吩類之重複單元(以下分別稱為重複單元b1~b4)。
【化17】
式中,R20 表示氫原子或甲基。Z1 表示單鍵、-C(=O)-O-或-O-。Z2 及Z3 各自獨立地為伸苯基或伸萘基。Z4 為亞甲基、-O-或-S-。R21 為碳數6~20之芳基、或碳數2~20之烯基。R22 、R23 、R24 及R25 各自獨立地為氫原子、羥基、氰基、硝基、胺基、鹵素原子、碳數1~10之直鏈狀、分支狀或環狀之烷基、碳數2~6之直鏈狀、分支狀或環狀之烯基、碳數6~10之芳基、碳數1~10之直鏈狀、分支狀或環狀之烷氧基、或碳數2~10之直鏈狀、分支狀或環狀之醯氧基。b1~b4為符合0≦b1<1.0、0≦b2<1.0、0≦b3<1.0、0≦b4<1.0及0≦b1+b2+b3+b4<1.0之正數。
給予前述重複單元b1之單體可列舉如下但不限於此等。又,下式中,R20 同前述。
【化18】
【化19】
【化20】
【化21】
給予前述重複單元b2之單體可列舉如下但不限於此等。 【化22】
給予前述重複單元b3之單體可列舉如下但不限於此等。 【化23】
給予前述重複單元b4之單體可列舉如下但不限於此等。 【化24】
【化25】
【化26】
前述含氟原子之聚合物,為了使鹼溶解性提高,也可更含有日本特開2008-65304號公報記載之有羧基或磺基之重複單元c1。
前述重複單元a1~a3、重複單元b1~b4、及重複單元c11之共聚合比,較佳為0≦a1≦1.0、0≦a2≦1.0、0≦a3<1.0、0<a1+a2+a3≦1.0、0≦b1≦0.9、0≦b2≦0.9、0≦b3≦0.9、0≦b4≦0.9、0≦b1+b2+b3+b4≦0.9、0≦c1≦0.6,更佳為0≦a1≦1.0、0≦a2≦1.0、0≦a3≦0.8、0.2≦a1+a2+a3≦1.0、0≦b1≦0.8、0≦b2≦0.8、0≦b3≦0.8、0≦b4≦0.8、0≦b1+b2+b3+b4≦0.8、0≦c1≦0.5,又更佳為0≦a1≦1.0、0≦a2≦1.0、0≦a3≦0.7、0.3≦a1+a2+a3≦1.0、0≦b1≦0.7、0≦b2≦0.7、0≦b3≦0.7、0≦b4≦0.7、0≦b1+b2+b3+b4≦0.7、0≦c1≦0.4。
前述含氟原子之聚合物之重量平均分子量(Mw)宜為1,000~20,000較理想,2,000~10,000更理想。Mw若為1,000以上,不會有和光阻之混合而造成顯影後之光阻圖案發生膜損失之可能性,Mw若為20,000以下,向溶劑、鹼顯影液之溶解性良好。又,本發明中,Mw係使用四氫呋喃(THF)作為溶劑,以凝膠滲透層析(GPC)獲得之聚苯乙烯換算測定值。
作為前述含氟原子之聚合物之聚合方法,一般有使用自由基聚合起始劑之自由基聚合、使用烷基鋰等觸媒之離子聚合(陰離子聚合)等。該等聚合可依其常法實施。
前述自由基聚合起始劑不特別限定,例如:2,2'-偶氮雙(4-甲氧基-2,4-二甲基戊腈)、2,2'-偶氮雙(2,4-二甲基戊腈)、2,2'-偶氮雙異丁腈、2,2'-偶氮雙(2,4,4-三甲基戊烷)等偶氮系化合物、過氧化三甲基乙酸第三丁酯、過氧化月桂醯、過氧化苯甲醯基、過氧化月桂酸第三丁酯等過氧化物系化合物、又,可列舉係水溶性起始劑之過硫酸鉀等過硫酸鹽,進而將過硫酸鉀、過氧化氫等過氧化物與亞硫酸鈉等還原劑組合而構成的氧化還原系起始劑。聚合起始劑之使用量可因應種類、聚合反應條件等適當變更,通常相對於待聚合之單體全量為0.001~5質量%較理想,0.01~2質量%更理想。
又,聚合反應中也可使用聚合溶劑。聚合溶劑宜為不妨礙聚合反應者較理想,代表性者可列舉乙酸乙酯、乙酸正丁酯等酯類;丙酮、甲乙酮、甲基異丁酮等酮類;甲苯、二甲苯、環己烷等脂肪族或芳香族烴類;異丙醇、乙二醇單甲醚等醇類;二乙醚、二烷、四氫呋喃等醚系溶劑。該等溶劑可單獨使用1種或混用2種以上。又,也可併用如十二基硫醇之公知分子量調整劑。
聚合反應之反應溫度可依聚合起始劑之種類或溶劑之沸點適當設定,通常為20~200℃較理想,特別是50~140℃較佳。該聚合反應使用之反應容器無特殊限制。
反應結束後,可利用再沉澱、蒸餾等公知方法將溶劑除去,並回收目的之聚合物。
[基礎樹脂] 本發明之圖案形成方法使用之光阻組成物所含之基礎樹脂,宜含有下式(7)表示之重複單元(以下稱為重複單元d1)及/或下式(8)表示之重複單元(以下稱為重複單元d2)較佳。 【化27】
式中,R10 及R12 各自獨立地為氫原子或甲基。R11 及R14 各自獨立地為氫原子或酸不安定基。Y1 為單鍵、伸苯基、伸萘基或-C(=O)-O-R15 -,R15 為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基、或伸苯基或伸萘基。Y2 為單鍵、伸苯基、伸萘基、-C(=O)-O-R16 -、-C(=O)-NH-R16 -、-O-R16 -或-S-R16 -,R16 為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基。R13 為單鍵、或也可以含有醚基或酯基之碳數1~16之直鏈狀、分支狀或環狀之2~5價之脂肪族烴基、或伸苯基。d1及d2係符合0≦d1<1.0、0≦d2<1.0及0<d1+d2≦1.0之正數。n為1~4之整數。
前述重複單元d1係含羧基者或羧基之氫原子取代成酸不安定基而得者。給予前述重複單元d1之單體可列舉如下但不限於此等。又,下式中,R10 及R11 同前述。
【化28】
【化29】
前述重複單元d2含有羥基或苯酚性羥基、或該等之氫原子取代成酸不安定基。給予前述重複單元d2之單體可列舉如下但不限於此等。又,下式中,R12 及R13 同前述。
【化30】
前述酸不安定基有各種可選擇,例如:下式(A-1)~(A-3)表示者。 【化31】
式(A-1)中,R30 為碳數4~20,較佳為4~15之3級烷基、各烷基各為碳數1~6之烷基三烷基矽基、碳數4~20之側氧基烷基或式(A-3)表示之基。a為0~6之整數。
前述3級烷基可列舉第三丁基、第三戊基、1,1-二乙基丙基、1-乙基環戊基、1-丁基環戊基、1-乙基環己基、1-丁基環己基、1-乙基-2-環戊烯基、1-乙基-2-環己烯基、2-甲基-2-金剛烷基等。作為前述三烷基矽基,可列舉三甲基矽基、三乙基矽基、二甲基-第三丁基矽基等。作為前述側氧基烷基可列舉3-側氧基環己基、4-甲基-2-側氧基烷-4-基、5-甲基-2-側氧基四氫呋喃-5-基等。
式(A-2)中,R31 及R32 各自獨立地表示氫原子、或碳數1~18,較佳為1~10之直鏈狀、分支狀或環狀之烷基。前述烷基可列舉甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、環戊基、環己基、2-乙基己基、正辛基等。R33 表示也可以含有氧原子等雜原子之碳數1~18,較佳為1~10之1價烴基。前述1價烴基可列舉直鏈狀、分支狀或環狀之烷基、該等氫原子之一部分取代為羥基、烷氧基、側氧基、胺基、烷胺基等者。如此的取代烷基可列舉以下所示者。
【化32】
R31 與R32 、R31 與R33 或R32 與R33 ,也可彼此鍵結並和它們所鍵結之碳原子一起形成環,於此情形,涉及環形成之R31 ~R33 各自獨立地表示碳數1~18,較佳為1~10之直鏈狀或分支狀之伸烷基。它們所鍵結而獲得之環之碳數較佳為3~10,更佳為4~10。
作為式(A-1)表示之酸不安定基,可列舉第三丁氧基羰基、第三丁氧基羰基甲基、第三戊氧基羰基、第三戊氧基羰基甲基、1,1-二乙基丙氧基羰基、1,1-二乙基丙氧基羰基甲基、1-乙基環戊氧基羰基、1-乙基環戊氧基羰基甲基、1-乙基-2-環戊烯氧基羰基、1-乙基-2-環戊烯氧基羰基甲基、1-乙氧基乙氧基羰基甲基、2-四氫哌喃氧羰基甲基、2-四氫呋喃氧基羰基甲基等。
又,也可列舉下式(A-1)-1~(A-1)-10表示之取代基。 【化33】
在此,R37 各自獨立地表示碳數1~10之直鏈狀、分支狀或環狀之烷基、或碳數6~20之芳基。R38 表示氫原子、或碳數1~10之直鏈狀、分支狀或環狀之烷基。又,R39 為碳數2~10之直鏈狀、分支狀或環狀之烷基、或碳數6~20之芳基。a同前述。
式(A-2)表示之酸不安定基之中,直鏈狀或分支狀者可列舉下式(A-2)-1~(A-2)-69表示者,但不限於此等。
【化34】
【化35】
【化36】
【化37】
式(A-2)表示之酸不安定基之中,環狀者可列舉四氫呋喃-2-基、2-甲基四氫呋喃-2-基、四氫哌喃-2-基、2-甲基四氫哌喃-2-基等。
又,也可利用下式(A-2a)或(A-2b)表示之酸不安定基將基礎樹脂的分子間或分子內交聯。 【化38】
式中,R40 及R41 各自獨立地表示氫原子、或碳數1~8之直鏈狀、分支狀或環狀之烷基。R40 與R41 也可以互相鍵結並和它們所鍵結之碳原子一起形成環,於此情形,R40 及R41 各自獨立地表示碳數1~8之直鏈狀或分支狀之伸烷基。R42 各自獨立地表示碳數1~10之直鏈狀、分支狀或環狀之伸烷基。b及d各自獨立地表示0~10之整數,較佳為0~5之整數,c表示1~7之整數,較佳為1~3之整數。
A表示(c+1)價之碳數1~50之脂肪族或脂環族飽和烴基、芳香族烴基、或雜環基。又,該等基之碳原子間也可含有雜原子,或該等基之碳原子所鍵結之氫原子之一部分也可取代為羥基、羧基、醯基或氟原子。A宜為直鏈狀、分支狀或環狀之伸烷基、烷三基、烷四基、碳數6~30之伸芳基等為較佳。B表示-CO-O-、-NHCO-O-或-NHCONH-。
式(A-2a)或(A-2b)表示之交聯型縮醛基可列舉下式(A-2)-70~(A-2)-77表示者等。 【化39】
式(A-3)中,R34 、R35 及R36 各自獨立地表示碳數1~20之直鏈狀、分支狀或環狀之烷基或碳數2~20之直鏈狀、分支狀或環狀之烯基等1價烴基,也可以含有氧原子、硫原子、氮原子、氟原子等雜原子,R34 與R35 、R34 與R36 或R35 與R36 也可互相鍵結並和它們所鍵結之碳原子一起形成碳數3~20之脂環。
式(A-3)表示之3級烷基可列舉第三丁基、三乙基香芹基(carvyl)、1-乙基降莰基、1-甲基環己基、1-乙基環戊基、2-(2-甲基)金剛烷基、2-(2-乙基)金剛烷基、第三戊基等。
又,前述3級烷基可列舉下式(A-3)-1~(A-3)-18表示之基。 【化40】
式中,R43 各自獨立地表示碳數1~8之直鏈狀、分支狀或環狀之烷基、或苯基等碳數6~20之芳基。R44 及R46 各自獨立地表示氫原子、或碳數1~20之直鏈狀、分支狀或環狀之烷基。R45 表示苯基等碳數6~20之芳基。
又,也可以利用下式(A-3)-19或(A-3)-20表示之酸不安定基將基礎樹脂進行分子間或分子內交聯。 【化41】
式中,R43 同前述。R47 表示碳數1~20之直鏈狀、分支狀或環狀之伸烷基、或伸苯基等碳數6~20之伸芳基,也可以含有氧原子、硫原子、氮原子等雜原子。e1表示1~3之整數。
式(A-3)表示之含酸不安定基之重複單元,例如來自下式(A-3)-21表示之具外向體結構之(甲基)丙烯酸酯者。 【化42】
式中,R10 及a1同前述。Rc1 表示碳數1~8之直鏈狀、分支狀或環狀之烷基、或也可經取代之碳數6~20之芳基。Rc2 ~Rc7 、Rc10 及Rc11 各自獨立地表示氫原子或碳數1~15之也可以含有雜原子之1價烴基。Rc8 及Rc9 表示氫原子。Rc2 與Rc3 、Rc4 與Rc6 、Rc4 與Rc7 、Rc5 與Rc7 、Rc5 與Rc11 、Rc6 與Rc10 、Rc8 與Rc9 或Rc9 與Rc10 ,也可以互相鍵結並和它們所鍵結之碳原子一起形成環,於此情形,涉及鍵結之基表示碳數1~15之也可以含有雜原子之2價烴基。又,Rc2 與Rc11 、Rc8 與Rc11 或Rc4 與Rc6 ,也可相鄰之碳所鍵結者彼此直接鍵結並形成雙鍵。Rc14 表示氫原子、或碳數1~15之直鏈狀、分支狀或環狀之烷基。又,依本式也代表鏡像體。
在此,作為為了獲得式(A-3)-21表示之重複單元之單體,可列舉日本特開2000-327633號公報記載者等。具體而言可列舉如以下所示者,但不限於此等。
【化43】
式(A-3)表示之含酸不安定基之重複單元亦可列舉來自下式(A-3)-22表示之含呋喃二基、四氫呋喃二基或氧雜降莰烷二基之(甲基)丙烯酸酯者。 【化44】
式中,R10 及a1同前述。Rc12 及Rc13 各自獨立地表示碳數1~10之直鏈狀、分支狀或環狀之1價烴基。Rc12 與Rc13 也可以互相鍵結並和它們所鍵結之碳原子一起形成脂肪族烴環。Rc14 表示呋喃二基、四氫呋喃二基、或氧雜降莰烷二基。Rc15 表示氫原子、或也可以含有雜原子之碳數1~10之直鏈狀、分支狀或環狀之1價烴基。
用以獲得式(A-3)-22表示之重複單元之單體可列舉如下但不限於此等。
【化45】
【化46】
作為式(A-3)表示之酸不安定基亦可列舉下式(A-3)-23表示者。前述基礎樹脂含此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化47】
式中,R100 表示氫原子、鹵素原子、氰基、碳數1~4之烷基、碳數1~4之烷氧基、碳數2~5之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。k1 表示1~4之整數。
用以獲得式(A-3)-23表示之重複單元之單體可列舉如下但不限於此等。 【化48】
作為式(A-3)表示之酸不安定基也可列舉下式(A-3)-24表示者。前述基礎樹脂含有此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化49】
式中,R101 及R102 各自獨立地表示氫原子、鹵素原子、氰基、羥基、碳數1~4之烷基、碳數1~4之烷氧基、碳數2~5之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。R表示氫原子、也可含有氧原子或硫原子之碳數1~12之直鏈狀、分支狀或環狀之烷基、碳數2~12之烯基、碳數2~12之炔基、或碳數6~10之芳基。R103 、R104 、R105 及R106 為氫原子,或R103 與R104 、R104 與R105 或R105 與R106 也可互相鍵結而形成苯環。k2 及k3 各自獨立地表示1~4之整數。
用以獲得式(A-3)-24表示之重複單元之單體可列舉如下但不限於此等。 【化50】
【化51】
【化52】
作為式(A-3)表示之酸不安定基,也可列舉下式(A-3)-25表示者。前述基礎樹脂含有此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化53】
式中,R同前述。R107 各自獨立地表示氫原子、或碳數1~6之直鏈狀、分支狀或環狀之烷基,k4 為2以上的情形,也可R107 彼此鍵結並形成碳數2~8之環。圓弧Z係連結碳原子CA 與CB 之2價基,表示伸乙基、伸丙基、伸丁基或伸戊基。R108 各自獨立地表示氫原子、羥基、硝基、鹵素原子、氰基、碳數1~4之烷基、碳數1~4之烷氧基、碳數2~5之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。Z為伸乙基或伸丙基時,R107 不為氫原子。k4 及k5 各自獨立地表示1~4之整數。
用以獲得式(A-3)-25表示之重複單元之單體可列舉如下但不限於此等。 【化54】
【化55】
【化56】
【化57】
【化58】
作為式(A-3)表示之酸不安定基,也可列舉下式(A-3)-26表示者。前述基礎樹脂含有此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化59】
式中,R同前述。R109 及R110 各自獨立地表示氫原子、羥基、硝基、鹵素原子、氰基、碳數1~4之烷基、碳數1~4之烷氧基、碳數1~4之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。k6 及k7 各自獨立地表示1~4之整數。
用以獲得式(A-3)-26表示之重複單元之單體可列舉如下但不限於此等。 【化60】
【化61】
作為式(A-3)表示之酸不安定基,也可列舉下式(A-3)-27表示者。前述基礎樹脂含有此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化62】
式中,R同前述。R111 及R112 各自獨立地表示氫原子、羥基、鹵素原子、氰基、碳數1~4之烷基、碳數1~4之烷氧基、碳數2~5之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。k8 及k9 各自獨立地表示1~4之整數。G表示亞甲基、伸乙基、伸乙烯基或-CH2 -S-。
用以獲得式(A-3)-27表示之重複單元之單體可列舉如下但不限於此等。 【化63】
【化64】
【化65】
作為式(A-3)表示之酸不安定基,也可列舉下式(A-3)-28表示者。前述基礎樹脂含有此酸不安定基時,宜含有經該酸不安定基取代之重複單元d1較佳。 【化66】
式中,R同前述。R113 及R114 各自獨立地表示氫原子、羥基、鹵素原子、氰基、碳數1~4之烷基、碳數1~4之烷氧基、碳數2~5之醯基、碳數2~5之烷氧基羰基、或碳數6~10之芳基。k10 及k11 各自獨立地表示1~4之整數。L表示羰基、醚基、硫醚基、-S(=O)-或-S(=O)2 -。
用以獲得式(A-3)-28表示之重複單元之單體可列舉如下但不限於此等。 【化67】
【化68】
【化69】
【化70】
前述基礎樹脂也可更含有包括作為密合性基之羥基、羧基、內酯環、碳酸酯基、硫碳酸酯基、羰基、環狀縮醛基、醚基、酯基、磺酸酯基、氰基、醯胺基、或-O-C(=O)-J-(J為-S-或-NH-。)之重複單元e。給予前述重複單元e之單體可列舉如下但不限於此等。
【化71】
【化72】
【化73】
【化74】
【化75】
【化76】
【化77】
前述基礎樹脂也可更含有包括作為密合性基之苯酚性羥基的重複單元f。給予前述重複單元f之單體可列舉如下但不限於此等。
【化78】
【化79】
【化80】
【化81】
【化82】 【化83】
將給予前述重複單元f之單體進行共聚合時,聚合時可預先將羥基以乙氧基乙氧基等容易以酸脫保護之縮醛基取代,聚合後以弱酸與水進行脫保護,也可預先以乙醯基、甲醯基、三甲基乙醯基等取代,聚合後進行鹼水解。
前述基礎樹脂也可以更含有來自下式(9)~(11)表示之鹽之重複單元(以下分別稱為重複單元g1~g3)。前述重複單元g1~g3作用為酸產生劑,包括將其含於主鏈之基礎樹脂的光阻,有顯影後之圖案之邊緣粗糙度(LWR)小的好處。 【化84】
式中,R50 、R54 及R58 各自獨立地表示氫原子或甲基。R51 表示單鍵、伸苯基、-O-R63 -或-C(=O)-Y-R63 -,Y表示-O-或-NH-,R63 表示碳數1~6之直鏈狀、分支狀或環狀之伸烷基、伸苯基或碳數2~6之伸烯基,也可以含有羰基(-CO-)、酯基(-COO-)、醚基(-O-)或羥基。R52 、R53 、R55 、R56 、R57 、R59 、R60 及R61 各自獨立地表示也可以含有羰基、酯基或醚基之碳數1~12之直鏈狀、分支狀或環狀之烷基、碳數6~12之芳基、或碳數7~20之芳烷基。Z0 表示單鍵、亞甲基、伸乙基、伸苯基、氟化之伸苯基、-O-R62 -、或-C(=O)-Z1 -R62 -,Z1 表示-O-或-NH-,R62 表示碳數1~6之直鏈狀、分支狀或環狀之伸烷基、伸苯基或伸烯基,也可以含有羰基、酯基、醚基或羥基。M- 表示非親核性相對離子。g1~g3為符合0≦g1≦0.8、0≦g2≦0.8、0≦g3≦0.8及0≦g1+g2+g3≦0.8之正數。
給予前述重複單元g1之單體可列舉如下但不限於此等。 【化85】
給予前述重複單元g2之單體可列舉如下但不限於此等。 【化86】
【化87】
給予前述重複單元g3之單體可列舉如下但不限於此等。 【化88】
【化89】
【化90】
前述基礎樹脂中,重複單元d1、d2、e、f及g1~g3之共聚合比率較佳為0≦d1<1.0、0≦d2<1.0、0.05≦d1+d2<1.0、0≦e<1.0、0≦f<1.0、0≦g1<1.0、0≦g2<1.0、0≦g3<1.0及0≦g1+g2+g3<1.0,更佳為0≦d1≦0.8、0≦d2≦0.8、0.05≦d1+d2≦0.8、0≦e≦0.8、0≦f≦0.8、0≦g1≦0.8、0≦g2≦0.8、0≦g3≦0.8及0≦g1+g2+g3≦0.8。
合成前述基礎樹脂之方法,例如將給予重複單元d1及/或d2、視需要給予重複單元e、f、g1~g3之單體,於有機溶劑中,添加自由基聚合起始劑並進行加熱聚合之方法。
聚合反應使用之有機溶劑、聚合條件可採用和可用於前述含氟原子之聚合物之聚合者為同樣者。
前述基礎樹脂之Mw宜為1,000~500,000較理想,2,000~30,000更理想。Mw若為1,000以上,光阻組成物的耐熱性優異,若為500,000以下,鹼溶解性不降低,圖案形成後不發生拖尾現象。
又,前述基礎樹脂中,多成分共聚物之分子量分布(Mw/Mn)廣時,因為存在低分子量、高分子量之聚合物,有時曝光後在圖案上會出現異物、或有圖案形狀惡化的情形。所以,隨圖案規則微細化,分子量、分子量分布之影響易增大,為了微細圖案尺寸適用的光阻組成物,使用之基礎樹脂之分子量分布為1.0~2.0較理想,尤其為1.0~1.5之窄分散較佳。
前述基礎樹脂中的羧基、羥基經酸不安定基時,作為正型光阻組成物使用,未經取代時,作為負型光阻組成物之基礎樹脂使用。
本發明使用之光阻組成物中,宜相對於前述基礎樹脂100質量份以0.1~15質量份之範圍添加前述含氟原子之聚合物較佳。
[酸產生劑] 前述酸產生劑宜為下式(12)或(13)表示者較佳。 【化91】
式(12)中,R200 、R210 及R220 各自獨立地表示也可以含有雜原子之碳數1~20之直鏈狀、分支狀或環狀之1價烴基。又,R200 、R210 及R220 中的任二者以上也可以互相鍵結並和它們所鍵結之硫原子一起形成環。陽離子之具體例可列舉和前述陽離子同樣者。
式(12)中,X- 表示選自下式(12A)~(12D)之陰離子。 【化92】
式(12A)中,Rfa 表示氟原子、或也可以含有雜原子之碳數1~40之直鏈狀、分支狀或環狀之1價烴基。
式(12A)表示之陰離子宜為下式(12A')表示者較佳。 【化93】
式(12A')中,R77 表示氫原子或三氟甲基,較佳為三氟甲基。R88 表示也可以含有雜原子之碳數1~38之直鏈狀、分支狀或環狀之1價烴基。前述雜原子宜為氧原子、氮原子、硫原子、鹵素原子等較理想,氧原子更理想。就前述1價烴基而言,考量於微細圖案形成獲得高解像性之觀點,尤其以碳數6~30者較佳。前述1價烴基可以列舉:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、新戊基、環戊基、己基、環己基、3-環己烯基、庚基、2-乙基己基、壬基、十一基、十三基、十五基、十七基、1-金剛烷基、2-金剛烷基、1-金剛烷基甲基、降莰基、降莰基甲基、三環癸基、四環十二基、四環十二基甲基、二環己基甲基、二十基、烯丙基、苄基、二苯基甲基、四氫呋喃基、甲氧基甲基、乙氧基甲基、甲硫基甲基、乙醯胺甲基、三氟乙基、(2-甲氧基乙氧基)甲基、乙醯氧基甲基、2-羧基-1-環己基、2-側氧基丙基、4-側氧基-1-金剛烷基、3-側氧基環己基等。又,該等基之一部分氫原子也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,或該等基之一部分碳原子間也可插入含氧原子、硫原子、氮原子等雜原子之基,其結果也可以含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。
關於具有式(12A')表示之陰離子之鹽之合成,詳見日本特開2007-145797號公報、日本特開2008-106045號公報、日本特開2009-7327號公報、日本特開2009-258695號公報等。又,日本特開2010-215608號公報、日本特開2012-41320號公報、日本特開2012-106986號公報、日本特開2012-153644號公報等記載之鹽亦適用。
具式(12A)表示之陰離子之鹽可列舉如下但不限於此等。又,下式中,Ac表示乙醯基、Ph表示苯基。 【化94】
【化95】
【化96】
式(12B)中,Rfb1 及Rfb2 各自獨立地表示氟原子、或也可以含有雜原子之碳數1~40之直鏈狀、分支狀或環狀之1價烴基。前述1價烴基可列舉和就前述R88 之説明列舉者為同樣者。Rfb1 及Rfb2 較佳為氟原子或碳數1~4之直鏈狀氟化烷基。又,Rfb1 及Rfb2 也可以互相鍵結並和它們所鍵結之基(-CF2 -SO2 -N- -SO2 -CF2 -)一起形成環,尤其以氟化伸乙基、氟化伸丙基形成環結構者較佳。
式(12C)中,Rfc1 、Rfc2 及Rfc3 各自獨立地表示氟原子、或也可以含有雜原子之碳數1~40之直鏈狀、分支狀或環狀之1價烴基。前述1價烴基可列舉和前述R88 之説明時列舉者為同樣者。Rfc1 、Rfc2 及Rfc3 較佳為氟原子或碳數1~4之直鏈狀氟化烷基。又,Rfc1 及Rfc2 也可以互相鍵結並和它們所鍵結之基(-CF2 -SO2 -C- -SO2 -CF2 -)一起形成環,尤其以氟化伸乙基、氟化伸丙基形成環結構者較佳。
式(12D)中,Rfd 表示也可以含有雜原子之碳數1~40之直鏈狀、分支狀或環狀之1價烴基。前述1價烴基可列舉和前述R88 之説明列舉者為同樣者。
關於具式(12D)表示之陰離子之鹽之合成,詳見日本特開2010-215608號公報及特開2014-133723號公報。
具式(12D)表示之陰離子之鹽可列舉如下但不限於此等。又,下式中,Ph表示苯基。 【化97】
【化98】
又,具式(12D)表示之陰離子之光酸產生劑,在磺基之α位不具氟,但因為β位有2個三氟甲基,因此具有切斷光阻聚合物中之酸不安定基的足夠酸性度。故能作為光酸產生劑使用。
式(13)中,R300 及R310 各自獨立地表示也可以含有雜原子之碳數1~30之直鏈狀、分支狀或環狀之1價烴基。R320 表示也可以含有雜原子之碳數1~30之直鏈狀、分支狀或環狀之2價烴基。又,R300 、R310 及R320 中的任二者以上也可以互相鍵結並和它們所鍵結之硫原子一起形成環。LA 表示單鍵、或也可以含有雜原子之碳數1~20之直鏈狀、分支狀或環狀之2價烴基。XA 、XB 、XC 及XD 各自獨立地表示氫原子、氟原子或三氟甲基。惟XA 、XB 、XC 及XD 中之至少一者表示氫原子以外之取代基。
前述1價烴基可列舉和前述R之説明列舉者為同樣者。
前述2價烴基可列舉亞甲基、伸乙基、丙烷-1,3-二基、丁烷-1,4-二基、戊烷-1,5-二基、己烷-1,6-二基、庚烷-1,7-二基、辛烷-1,8-二基、壬烷-1,9-二基、癸烷-1,10-二基、十一烷-1,11-二基、十二烷-1,12-二基、十三烷-1,13-二基、十四烷-1,14-二基、十五烷-1,15-二基、十六-1,16-二基、十一烷-1,17-二基等直鏈狀烷二基;環戊烷二基、環己烷二基、降莰烷二基、金剛烷二基等飽和環狀2價烴基;伸苯基、伸萘基等不飽和環狀2價烴基等。又,該等基之一部分氫原子也可以取代為甲基、乙基、丙基、正丁基、第三丁基等烷基。又,該等基之一部分氫原子也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,或該等基之一部分碳原子間也可以插入含有氧原子、硫原子、氮原子等雜原子之基,其結果也可以含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。前述雜原子宜為氧原子較佳。
式(13)表示之光酸產生劑宜為下式(13')表示者較佳。 【化99】
式(13')中,LA 同前述。A表示氫原子或三氟甲基,較佳為三氟甲基。R301 、R302 及R303 各自獨立地表示氫原子、或也可以含有雜原子之碳數1~20之直鏈狀、分支狀或環狀之1價烴基。前述1價烴基可列舉和前述R88 之説明列舉者為同樣者。q及r各自獨立地表示0~5之整數,p表示0~4之整數。
式(13)表示之光酸產生劑可列舉如下但不限於此等。又,下式中,A同前述,Me表示甲基。 【化100】
【化101】
【化102】
前述光酸產生劑之中,具式(12A')或(12D)表示之陰離子者的酸擴散小,且向光阻溶劑之溶解性優良,特別理想。又,具式(13')表示之陰離子者,酸擴散極小,特別理想。
酸產生劑之摻合量,相對於基礎樹脂100質量份為0~40質量份較理想,0.1~40質量份更佳,0.1~20質量份更理想。若為前述範圍,解像性良好,光阻顯影後或剝離時無產生異物之問題之虞,故為理想。
[有機溶劑] 前述有機溶劑只要是可溶解前述各成分者即可,無特殊限定。如此的有機溶劑,例如:日本特開2008-111103號公報之段落[0144]~[0145]記載之環己酮、甲基-2-正戊酮等酮類;3-甲氧基丁醇、3-甲基-3-甲氧基丁醇、1-甲氧基-2-丙醇、1-乙氧基-2-丙醇等醇類;丙二醇單甲醚、乙二醇單甲醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇二甲醚、二乙二醇二甲醚等醚類;丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、乳酸乙酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、丙二醇單第三丁醚乙酸酯等酯類;γ-丁內酯等內酯類、及該等之混合溶劑。使用縮醛系之酸不安定基時,為了使縮醛之脫保護反應加快,也可以添加高沸點的醇系溶劑,具體而言可添加二乙二醇、丙二醇、甘油、1,4-丁二醇、1,3-丁二醇等。
有機溶劑之摻合量,相對於基礎樹脂100質量份宜為50~10,000質量份較理想,100~8,000質量份更理想。
[其他成分] 本發明之圖案形成方法使用之光阻組成物中,除了前述含氟原子之聚合物、前述基礎樹脂、酸產生劑及有機溶劑,也可因應目的更適當組合並摻合鹼性化合物、界面活性劑、溶解控制劑、乙炔醇類等。
藉由於前述光阻組成物添加鹼性化合物,例如可以抑制酸在光阻膜中之擴散速度,使解像度更為提高,藉由添加界面活性劑,能更提高光阻組成物之塗佈性或加以控制。
鹼性化合物可以列舉日本特開2008-111103號公報之段落[0146]~[0164]記載者等。界面活性劑可列舉日本特開2008-111103號公報之段落[0165]~[0166]記載者等。溶解控制劑可列舉日本特開2008-122932號公報之段落[0155]~[0178]記載者等。乙炔醇類可列舉日本特開2008-122932號公報之段落[0179]~[0182]記載者等。
又,前述光阻組成物中也可以添加日本特開2008-239918號公報記載之聚合物型淬滅劑。其藉由配向在塗佈後之光阻表面,可提高圖案後光阻之矩形性。聚合物型淬滅劑,於在光阻上採用保護膜時,也有防止圖案之膜損失、圖案頂部圓化的效果。
本發明之圖案形成方法使用之光阻組成物,可以作為正型或負型光阻組成物。正型光阻時,未曝光部對於顯影液不溶,在曝光部因為前述基礎樹脂之酸不安定基之脫保護反應,加快對於顯影液之溶解速度,形成正型圖案。負型光阻時,未曝光部溶於顯影液,曝光部分因為親水性基變化為疏水性之極性變換或交聯反應,對於顯影液之溶解性降低,而形成負圖案。
[圖案形成方法] 本發明之圖案形成方法包括以下步驟:在基板上塗佈前述光阻組成物;於大氣壓下之沸點為60~250℃之溶劑氣體環境下於50~300℃之溫度進行烘烤;曝光;及顯影。
光阻組成物之塗佈方法不特別限定,宜為旋塗法、輥塗法、流塗法、浸塗法、噴塗法、刮刀塗佈法等較理想,旋塗法更理想。為了減少旋塗時之分配量,宜於以光阻用溶劑或和該溶劑混溶之溶液塗佈基板之狀態,分配光阻組成物並旋塗較佳(例如參照日本特開平9-246173號公報)。藉此,能改善光阻組成物在基板之擴開度,能減少光阻組成物之分配量。
其次,對於已塗佈光阻組成物之基板,於溶劑氣體環境下進行預烘烤。預烘烤可以於熱板上、烘箱中進行。皆需於溶劑氣體環境下進行。溶劑之濃度為100ppm以上較理想,200ppm以上更佳,500ppm以上更理想。為了提高和Si等無機基板之密合性,於軌道運送系統(truck system)安裝進行利用鼓泡使六甲基二矽氮烷(HMDS)而氣化之蒸汽預處理的裝置,本發明中,邊吹送使用此裝置而因溶劑之鼓泡生成之混合氣體,邊對於已塗佈光阻組成物之基板進行預烘烤。可藉由將鼓泡裝置加熱,以成為更高密度之溶劑氣體環境。有時因為溶劑之揮發熱,溶劑之溫度降低,混合氣體中之溶劑濃度會降低。為了調節溶劑濃度,鼓泡需為間歇式並調整其時間點。鼓泡以外之溶劑混合氣體之製作,有將溶劑加熱並使其蒸發之烘烤方式、利用噴嘴噴霧所為之直接氣化方式,皆可使用。
為了成為溶劑氣體環境下所必要之溶劑,於大氣壓下之沸點為80~250℃較佳,90~230℃更佳。作為如此的溶劑,可以列舉碳數4~10之酯系溶劑、碳數5~10之酮系溶劑、碳數8~12之醚系溶劑、碳數7~12之芳香族系溶劑、碳數4~8之醯胺系溶劑等。
碳數4~10之酯系溶劑可列舉丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丙醚乙酸酯、丙二醇單丁醚乙酸酯、丙二醇單第三丁醚乙酸酯、丙酮酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯、乙酸2-苯基乙酯等。
碳數5~10之酮系溶劑可列舉2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁酮、甲基環己酮、苯乙酮、甲基苯乙酮、環戊酮、環己酮、環辛酮、甲基-2-正戊酮等。
碳數8~12之醚系溶劑可以列舉二正丁醚、二異丁醚、二第二丁醚、二正戊醚、二異戊醚、二第二戊醚、二第三戊醚、二正己醚、苯甲醚等。
碳數7~12之芳香族系溶劑可以列舉甲苯、二甲苯、乙基苯、異丙基苯、第三丁基苯、均三甲苯等。
碳數4~8之醯胺系溶劑可以列舉N,N-二甲基乙醯胺、N,N-二乙基乙醯胺、N,N-二甲基丙醯胺、N-乙基丙醯胺、三甲基乙醯胺等。
前述含氟原子之聚合物係於旋塗及之後之烘烤中配向在表面。烘烤中,若光阻膜內之溶劑蒸發並固化,含氟原子之聚合物之表面配向會中止。溶劑之蒸發速度若變慢,含氟原子之聚合物之表面配向率提高。
藉由使用高沸點之溶劑作為光阻組成物,能減慢溶劑之蒸發速度。藉此能提高含氟原子之聚合物之表面配向率。但是旋塗後半若光阻膜中有大量溶劑殘留,則在旋塗後半實施之利用邊緣切割用之溶劑所為之邊緣切割後,因為光阻組成物被吹送地飛過來,會有無法進行邊緣清潔的問題。
減慢藉由實施本發明之溶劑氣體環境下之預烘烤導致溶劑乾燥的方法,由於不使用高沸點溶劑作為光阻溶劑,故有能俐落地進行邊緣切割的好處。溶劑氣體環境下之預烘烤後,也可於溶劑不存在之條件下進行預烘烤。藉此能完全使溶劑蒸發。
預烘烤後之光阻膜之膜厚為10~500nm較理想,20~300nm更理想。
光阻膜形成後進行曝光。曝光光可使用波長193nm之ArF準分子雷射、3~15nm之EUV、或EB。
ArF準分子雷射曝光可以列舉乾曝光與水中之浸潤曝光,較佳為在透鏡與晶圓之間插入水之浸潤曝光。利用本發明之溶劑氣體環境下所為之預烘烤,光阻表面之撥水性與滑水性改善,藉此,掃描速度提高,產能提高且從光阻膜向水之溶出物量減少,可以防止接觸水之投影透鏡霧化。
EUV曝光及EB曝光皆係於真空中進行。由於從真空中之曝光之光阻膜產生之散逸氣體,會於曝光機內附著散逸氣體成分。散逸氣體成分大部分是酸產生劑之分解物與酸不安定基之分解物。前述含氟原子之聚合物不含有酸產生劑、酸不安定基,故可藉由將其被覆表面以遮蔽散逸氣體之發生。
曝光後視需要也可進行曝光後烘烤(PEB)。PEB可藉由例如在熱板上於60~150℃進行1~5分鐘,較佳為於80~140℃進行1~3分鐘加熱處理以進行。
之後進行顯影。顯影步驟,例如以鹼顯影液進行3~300秒顯影。鹼顯影液一般廣泛使用2.38質量%之四甲基氫氧化銨水溶液。也可將四甲基氫氧化銨水溶液替換成使用四丁基氫氧化銨水溶液。於此情形,在顯影步驟使用鹼顯影液顯影,於前述光阻膜形成光阻圖案。
又,除了前述步驟,也可以包括蝕刻步驟、光阻除去步驟、洗滌步驟等其他各種步驟。 [實施例]
以下舉製備例、實施例及比較例對於本發明具體説明,但本發明不限於下列實施例等。
[1]光阻組成物之製備 [製備例1~5] 將下列基礎樹脂、含氟原子之聚合物、酸產生劑(PAG)、淬滅劑、界面活性劑及溶劑依表1記載之組成混合,以0.2μm之孔尺寸之聚乙烯製濾器過濾,製備成光阻組成物。
【化103】
【化104】
【化105】
【表1】 PGMEA:丙二醇單甲醚乙酸酯 GBL:γ-丁內酯 FC-4430:氟系界面活性劑、3M公司製
[2]光阻膜之評價-滑移角及後退接觸角之測定 [實施例1-1~1-8、比較例1-1~1-2] 使用東京威力科創(股)製Clean Track ACT-8將製備例1~5製備之光阻組成物分別以旋塗法塗佈在矽基板上,邊使用同裝置之鼓泡裝置使表2記載之溶劑鼓泡,邊於表2記載之溫度進行60秒預烘烤,製得厚度90nm之光阻膜。
使用傾斜法接觸角計DropMaster500(協和界面科學(股)製),保持已形成於前述方法製得之光阻膜之晶圓為水平,在光阻膜上滴加50μL之超純水,形成水珠。然後,使此晶圓緩慢地傾斜,測定水珠開始滑移之晶圓之角度(滑移角)與後退接觸角。結果如表2。
【表2】 PGMEA:丙二醇單甲醚乙酸酯 PGBEA:丙二醇單丁醚乙酸酯
[3]ArF浸潤曝光圖案化評價 [實施例2-1~2-8、比較例2-1~2-2] 於在矽晶圓製得膜厚200nm之信越化學工業(股)製旋塗式碳膜ODL-102、並於其上製得膜厚35nm之信越化學工業(股)製含矽旋塗式硬遮罩SHB-A940的三層處理用基板上,使用東京威力科創(股)製Clean track ACT-8分別將製備例1~5製備之光阻組成物以旋塗法塗佈,邊使用同裝置之鼓泡裝置使表3記載之溶劑鼓泡邊進行60秒預烘烤,使用熱板於100℃進行60秒烘烤,製得厚度90nm之光阻膜。 將其使用ArF準分子雷射浸潤掃描曝光機(Nikon(股)製,NSR-610C、NA1.30、σ0.98/0.78、偶極開口20度、Azimuthally偏光照明、6%半階調位相偏移遮罩)邊使曝光量變化邊進行曝光。曝光後於表3記載之溫度進行60秒PEB,以2.38質量%之四甲基氫氧化銨水溶液進行30秒浸置顯影,形成40nm線與間距圖案。顯影後之LWR以測長SEM(日立製作所(股)製CG-4000)測定。結果如表3。
【表3】 PGMEA:丙二醇單甲醚乙酸酯 PGBEA:丙二醇單丁醚乙酸酯

Claims (10)

  1. 一種圖案形成方法,包括以下步驟:塗佈包括含氟原子之聚合物、鹼溶解性因酸而提高或降低之基礎樹脂、酸產生劑及有機溶劑之光阻組成物;於大氣壓下之沸點為60~250℃且和液膜中之溶劑非相同之溶劑氣體環境下,於50~300℃之溫度進行烘烤;曝光;及顯影。
  2. 如申請專利範圍第1項之圖案形成方法,其中,利用於溶劑氣體環境下之烘烤,該含氟原子之聚合物覆蓋光阻膜表面。
  3. 如申請專利範圍第1或2項之圖案形成方法,其中,該大氣壓下之沸點為60~250℃之溶劑係碳數4~10之酯系溶劑、碳數5~10之酮系溶劑、碳數8~12之醚系溶劑、碳數7~12之芳香族系溶劑或碳數4~8之醯胺系溶劑。
  4. 如申請專利範圍第3項之圖案形成方法,其中,碳數4~10之酯系溶劑係丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丙醚乙酸酯、丙二醇單丁醚乙酸酯、丙二醇單第三丁醚乙酸酯、丙酮酸乙酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯或乙酸2-苯基乙酯,碳數5~10之酮系溶劑為2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁酮、甲基環己酮、苯乙酮、甲基苯乙酮、環戊酮、環己酮、環辛酮或甲基-2-正戊酮,碳數8~12之醚系溶劑為二正丁醚、二異丁醚、二第二丁醚、二正戊醚、二異戊醚、二第二戊醚、二第三戊醚、或二正己醚,碳數7~12之芳香族系溶劑為甲苯、二甲苯、乙基苯、異丙基苯、第三丁基苯或均三甲苯,碳數4~8之醯胺系溶劑為N,N-二甲基乙醯胺、N,N-二乙基乙醯胺、N,N-二甲基丙醯胺、N-乙基丙醯胺或三甲基乙醯胺。
  5. 如申請專利範圍第1或2項之圖案形成方法,其中,該含氟原子之聚合物含有α-三氟甲基及羥基或氟磺醯胺基且溶於鹼顯影液。
  6. 如申請專利範圍第5項之圖案形成方法,其中,該含氟原子之聚合物含有下式(1)表示之重複單元及/或下式(2)表示之重複單元;式中,R1及R4各自獨立地為氫原子或甲基;R2為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基、或伸苯基;R3為氫原子、氟原子、甲基、三氟甲基或二氟甲基,也可以和R2鍵結形成環,環之中也可以含有醚基、或經氟取代之伸烷基;R5為單鍵、或也可以含有醚基、酯基或羰基之碳數1~12之直鏈狀、分支狀或環狀之伸烷基;R6為經氟化之碳數1~10之直鏈狀、分支狀或環狀之烷基或苯基;m為1或2;m=1的情形,X1為單鍵、伸苯基、-O-、-C(=O)-O-R7-或-C(=O)-NH-R7-,R7為碳數1~10之直鏈狀、分支狀或環狀之伸烷基,也可以含有酯基或醚基;m=2的情形,X1為苯三基、-C(=O)-O-R8=或-C(=O)-NH-R8=,R8為從碳數1~10之直鏈狀、分支狀或環狀之伸烷基脫去1個氫原子而得之基,也可以含有酯基或醚基;X2為單鍵、伸苯基、-O-、-C(=O)-O-R7-或-C(=O)-NH-R7-;a1及a2係符合0≦a1<1.0、0≦a2<1.0及0.5≦a1+a2≦1.0之正數。
  7. 如申請專利範圍第1或2項之圖案形成方法,係使用波長248nm之KrF準分子雷射、波長193nm之ArF準分子雷射、波長3~15nm之極端紫外光、或電子束進行曝光。
  8. 如申請專利範圍第7項之圖案形成方法,其中,曝光係利用ArF準分子雷射進行之浸潤微影。
  9. 如申請專利範圍第1或2項之圖案形成方法,其中,該基礎樹脂含有下式(7)表示之重複單元及/或下式(8)表示之重複單元;式中,R10及R12各自獨立地為氫原子或甲基;R11及R14各自獨立地為氫原子或酸不安定基;Y1為單鍵、伸苯基、伸萘基或-C(=O)-O-R15-,R15為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基、或伸苯基或伸萘基;Y2為單鍵、伸苯基、伸萘基、-C(=O)-O-R16-、-C(=O)-NH-R16-、-O-R16-或-S-R16-,R16為也可以含有醚基、酯基、內酯環或羥基之碳數1~10之直鏈狀、分支狀或環狀之伸烷基;R13為單鍵、或為也可以含有醚基或酯基之碳數1~16之直鏈狀、分支狀或環狀之2~5價之脂肪族烴基、或伸苯基;d1及d2為符合0≦d1<1.0、0≦d2<1.0及0<d1+d2≦1.0之正數;n為1~4之整數。
  10. 如申請專利範圍第1或2項之圖案形成方法,其中,該含氟原子之聚合物係以相對於該基礎樹脂100質量份為0.1~15質量份之範圍添加。
TW105117808A 2015-06-09 2016-06-06 圖案形成方法 TWI635363B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-116739 2015-06-09
JP2015116739A JP6477270B2 (ja) 2015-06-09 2015-06-09 パターン形成方法

Publications (2)

Publication Number Publication Date
TW201706717A TW201706717A (zh) 2017-02-16
TWI635363B true TWI635363B (zh) 2018-09-11

Family

ID=57515862

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105117808A TWI635363B (zh) 2015-06-09 2016-06-06 圖案形成方法

Country Status (4)

Country Link
US (1) US9760010B2 (zh)
JP (1) JP6477270B2 (zh)
KR (1) KR101988086B1 (zh)
TW (1) TWI635363B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102656151B1 (ko) * 2017-09-29 2024-04-08 니폰 제온 가부시키가이샤 포지티브형 레지스트 조성물, 레지스트막 형성 방법, 및 적층체의 제조 방법
US11392036B2 (en) * 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
JP2021175791A (ja) * 2020-04-28 2021-11-04 信越化学工業株式会社 フルオロカルボン酸含有モノマー、フルオロカルボン酸含有ポリマー、レジスト材料及びパターン形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306460A (en) * 2002-01-30 2003-11-16 Toshiba Kk Film forming method/device, image-forming method and semiconductor device manufacturing method
TW201418887A (zh) * 2012-09-05 2014-05-16 Shinetsu Chemical Co 光阻材料及使用此光阻材料之圖案形成方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01236627A (ja) * 1988-03-17 1989-09-21 Matsushita Electron Corp レジストパターンの形成方法
JPH0684784A (ja) * 1992-08-31 1994-03-25 Sony Corp レジストパターン形成方法
JPH06194848A (ja) * 1992-12-25 1994-07-15 Toshiba Corp 電子部品のパターン形成方法
US6096484A (en) * 1997-10-15 2000-08-01 Kabushiki Kaisha Toshiba Pattern forming method using chemically amplified resist and apparatus for treating chemically amplified resist
US6643604B1 (en) * 2000-06-30 2003-11-04 Advanced Micro Devices, Inc. System for uniformly heating photoresist
US20020045105A1 (en) * 2000-07-05 2002-04-18 Brown David R. High quality lithographic processing
JP3967618B2 (ja) 2001-04-17 2007-08-29 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
JP2003092256A (ja) * 2001-07-12 2003-03-28 Hirata Corp 基板処理装置及び基板処理方法
JP3727052B2 (ja) 2001-08-30 2005-12-14 東京エレクトロン株式会社 塗布処理方法及び塗布処理装置
JP4740666B2 (ja) 2004-07-07 2011-08-03 富士フイルム株式会社 液浸露光用ポジ型レジスト組成物及びそれを用いたパターン形成方法
TWI368825B (en) 2004-07-07 2012-07-21 Fujifilm Corp Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
CA2595713A1 (en) * 2005-01-21 2006-07-27 Microcontinuum, Inc. Replication tools and related fabrication methods and apparatus
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20070002296A1 (en) * 2005-06-30 2007-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography defect reduction
US8124320B2 (en) * 2005-12-13 2012-02-28 Micron Technology, Inc. Method and apparatus for surface tension control in advanced photolithography
KR101242332B1 (ko) 2006-10-17 2013-03-12 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 이것을 이용한 패턴 형성 방법
JP4849267B2 (ja) 2006-10-17 2012-01-11 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
KR100861173B1 (ko) * 2006-12-01 2008-09-30 주식회사 하이닉스반도체 액침 노광 공정을 이용한 반도체 소자의 패턴 형성 방법
JP4771974B2 (ja) * 2007-02-19 2011-09-14 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5186255B2 (ja) * 2007-03-20 2013-04-17 富士フイルム株式会社 レジスト表面疎水化用樹脂、その製造方法及び該樹脂を含有するポジ型レジスト組成物
JP5222111B2 (ja) * 2008-11-26 2013-06-26 東京応化工業株式会社 レジスト表面改質液及びこれを利用したレジストパターン形成方法
JP4967004B2 (ja) * 2009-09-14 2012-07-04 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法
JP5768410B2 (ja) * 2010-04-22 2015-08-26 信越化学工業株式会社 近赤外光吸収膜形成材料及び積層膜
US8841058B2 (en) * 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
JP5875759B2 (ja) * 2010-10-14 2016-03-02 株式会社Screenセミコンダクターソリューションズ 熱処理方法および熱処理装置
US8906452B1 (en) * 2011-06-03 2014-12-09 Gary Hillman Rapid coating of wafers
US8563231B2 (en) * 2011-09-22 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and materials for lithography
JP6108832B2 (ja) * 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP5807611B2 (ja) * 2012-05-07 2015-11-10 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US8765363B2 (en) * 2012-05-23 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a resist pattern with multiple post exposure baking steps
KR102066301B1 (ko) * 2013-11-25 2020-01-14 도쿄엘렉트론가부시키가이샤 패턴 형성 방법 및 가열 장치
KR102233577B1 (ko) * 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9864275B2 (en) * 2015-02-26 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic resist with floating protectant

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306460A (en) * 2002-01-30 2003-11-16 Toshiba Kk Film forming method/device, image-forming method and semiconductor device manufacturing method
TW201418887A (zh) * 2012-09-05 2014-05-16 Shinetsu Chemical Co 光阻材料及使用此光阻材料之圖案形成方法

Also Published As

Publication number Publication date
US20160363866A1 (en) 2016-12-15
JP2017003737A (ja) 2017-01-05
KR101988086B1 (ko) 2019-06-11
TW201706717A (zh) 2017-02-16
KR20160144923A (ko) 2016-12-19
JP6477270B2 (ja) 2019-03-06
US9760010B2 (en) 2017-09-12

Similar Documents

Publication Publication Date Title
TWI597573B (zh) 光阻組成物及圖案形成方法
TWI540394B (zh) 正型光阻材料及利用此之圖案形成方法
JP5751173B2 (ja) パターン形成方法
KR101786153B1 (ko) 레지스트 재료 및 이것을 사용한 패턴 형성 방법
US9213235B2 (en) Patterning process, resist composition, polymer, and monomer
JP6213296B2 (ja) 現像液を用いたパターン形成方法
TWI524147B (zh) 正型光阻材料及利用此之圖案形成方法
US8951712B2 (en) Resist protective film-forming composition and patterning process
TWI635363B (zh) 圖案形成方法
KR20180041639A (ko) 오버코트 조성물 및 포토리소그래피 방법
TWI476519B (zh) 光阻材料及利用該光阻材料之圖案形成方法
KR102445499B1 (ko) 레지스트 패턴 형성 방법, 레지스트 패턴 스플릿제, 스플릿 패턴 개선화제, 레지스트 패턴 스플릿 재료, 및 스플릿 패턴 형성용의 포지티브형 레지스트 조성물
JP2021050307A (ja) ポリマー、化学増幅レジスト組成物及びパターン形成方法
JP5768788B2 (ja) レジスト保護膜材料及びパターン形成方法
TWI567491B (zh) 正型光阻材料及利用此之圖案形成方法
TWI540387B (zh) 正型光阻材料及利用此之圖案形成方法
TW201736411A (zh) 光阻材料及圖案形成方法