TWI618811B - 三元鎢硼氮化物膜及其形成方法 - Google Patents

三元鎢硼氮化物膜及其形成方法 Download PDF

Info

Publication number
TWI618811B
TWI618811B TW102126696A TW102126696A TWI618811B TW I618811 B TWI618811 B TW I618811B TW 102126696 A TW102126696 A TW 102126696A TW 102126696 A TW102126696 A TW 102126696A TW I618811 B TWI618811 B TW I618811B
Authority
TW
Taiwan
Prior art keywords
tungsten
boron
ternary
thin film
boron nitride
Prior art date
Application number
TW102126696A
Other languages
English (en)
Other versions
TW201425634A (zh
Inventor
雷偉
高舉文
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201425634A publication Critical patent/TW201425634A/zh
Application granted granted Critical
Publication of TWI618811B publication Critical patent/TWI618811B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B35/00Boron; Compounds thereof
    • C01B35/08Compounds containing boron and nitrogen, phosphorus, oxygen, sulfur, selenium or tellurium
    • C01B35/14Compounds containing boron and nitrogen, phosphorus, sulfur, selenium or tellurium
    • C01B35/146Compounds containing boron and nitrogen, e.g. borazoles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

提供三元鎢硼氮化物(WBN)薄膜及其相關的形成方法。此些薄膜具有優異的熱穩定性、可調整的電阻率及對氧化物的良好黏著性。形成此些薄膜的方法可涉及熱原子層沈積(ALD)處理,其中以脈衝方式將含硼、含氮與含鎢反應物相繼導入反應室中以沈積WBN薄膜。在某些實施例中,該處理包含含硼、含氮與含鎢反應物脈衝的複數循環且每一循環包含複數含硼脈衝。

Description

三元鎢硼氮化物膜及其形成方法 【交互參考之相關申請案】
本申請案基於35 USC §119(e)主張下列申請案為優先權母案:2012年7月26日申請之美國專利臨時申請案US 61/676,123以及2012年9月6日申請之美國專利臨時申請案US 61/697,775。將此兩申請案之所有內容包含於此作為參考。
電阻率為材料的本質特性以及電荷在材料中移動所受到之材料阻礙的量測值。高或低電阻率之材料可用於不同的應用。例如積體電路中的低電阻率金屬層能最小化功率損失。高電阻率金屬層可用來作為相變記憶體或其他應用的加熱器元件。
在一實例中,可使用鎢層來作為具有水平內連線形式的低電阻率電連接件、相鄰金屬層之間的貫孔、及第一層金屬與矽基板上之元件之間的接觸件。鎢的氮化物層可用來作為鎢內連線、貫孔與插塞用的擴散阻障層,提供相對低的電阻率以及與介電層的良好黏著。然而,與使用低電阻率鎢層與鎢之氮化物層相關的問題讓此些材料無法在半導體元件中被一起使用。
提供三元鎢硼氮化物(WBN)薄膜及其相關的形成方法。此些薄膜具有優異的熱穩定性、可調整的電阻率及對氧化物的良好黏著性。此些薄膜的形成方法可涉及熱原子層沈積(ALD)處理,在此處理中依序地以脈 衝形式將含硼、含氮與含鎢反應物通入反應室中以沈積WBN薄膜。在某些實施例中,該方法包含含硼、含氮與含鎢反應物脈衝的複數循環,其中每一循環包含複數含硼脈衝。
101‧‧‧將基板提供至沈積室
103‧‧‧將含硼反應物以脈衝方式提供至沈積室
105‧‧‧將含氮反應物以脈衝形式提供至沈積室
107‧‧‧是否已進行103/105 n次?
109‧‧‧將含鎢反應物以脈衝形式提供至沈積室以形成WBN薄膜
111‧‧‧是否已達到期望的厚度?
201‧‧‧將基板提供至沈積室
203‧‧‧將含硼反應物以脈衝方式提供至沈積室
205‧‧‧是否已進行203 n次?
207‧‧‧將含鎢反應物以脈衝形式提供至沈積室
209‧‧‧將含氮反應物以脈衝形式提供至沈積室以形成WBN薄膜
211‧‧‧是否已達到期望的厚度?
301‧‧‧將基板提供至沈積室
303‧‧‧將含鎢反應物以脈衝形式提供至沈積室
305‧‧‧將含氮反應物以脈衝形式提供至沈積室
307‧‧‧將含硼反應物以脈衝方式提供至沈積室
309‧‧‧是否已進行307 n次?
311‧‧‧是否已達到期望的厚度?
451‧‧‧將基板提供至沈積室
453‧‧‧將含硼反應物以脈衝方式提供至沈積室
455‧‧‧將含鎢反應物以脈衝形式提供至沈積室
457‧‧‧是否已進行453-455 n次?
459‧‧‧將含氮反應物以脈衝形式提供至沈積室
461‧‧‧是否達到期望厚度?
551‧‧‧將基板提供至沈積室
553‧‧‧將含硼反應物以脈衝方式提供至沈積室
555‧‧‧是否已進行553 n次?
557‧‧‧將含鎢反應物以脈衝形式提供至沈積室
559‧‧‧將含氮反應物以脈衝形式提供至沈積室以形成WBN薄膜
561‧‧‧是否已進行557-559 m次?
563‧‧‧是否已達到期望的厚度?
501‧‧‧沈積三元WBN層
503‧‧‧沈積低電阻率PNL-W成核層
505‧‧‧沈積大塊CVD-W層
610‧‧‧導電層
620‧‧‧第一介電層
630‧‧‧下電極
640‧‧‧第二電極
650‧‧‧加熱器元件
660‧‧‧相變材料
670‧‧‧第二介電層
700‧‧‧系統
701‧‧‧晶圓源模組
703‧‧‧傳送模組
707‧‧‧多站反應器
709‧‧‧多站反應器
719‧‧‧大氣傳送室
721‧‧‧加載室
750‧‧‧系統控制器
900‧‧‧沈積站
901‧‧‧座部
902‧‧‧晶圓支撐件
903‧‧‧噴淋頭
參考附圖並參考下面的詳細敘述當能提供對本發明之全面理解,其中:圖1-5之方法流程圖顯示了三元鎢硼氮化物(WBN)薄膜之沈積方法實例中的操作。
圖6為三元WBN擴散阻障層/W通孔堆疊之實例的概略橫剖面圖。
圖7之方法流程圖顯示了三元鎢硼氮化物/鎢(WBN/W)堆疊之沈積方法實例中的操作。
圖8為包含三元WBN之加熱器元件之相變記憶胞之實例的概略橫剖面圖。
圖9A與9B之概圖顯示了適用施行本文中所述之方法的設備。
圖10顯示了WN與WBN膜層的原子濃度。
導論
在下面的敘述中舉出了許多特定的細節以提供對於本發明的全面瞭解,其係關於鎢硼氮化物薄膜以及其形成方法。熟知此項技藝者當能對本文中所示之特定的方法與結構進行各種修改、調適、變化,此些修改、調適、變化當落在本發明之範疇中。
電阻率為材料的本質特性以及電荷在材料中移動所受到之材料阻礙的量測值。高或低電阻率之材料可用於不同的應用。例如積體電路中的低電阻率金屬層能最小化功率損失。高電阻率金屬層可用來作為相變記憶體或其他應用的加熱器元件。
在一實例中,可使用鎢層來作為具有水平內連線形式的低電阻率電連接件、相鄰金屬層之間的貫孔、及第一層金屬與矽基板上之元件之間的接觸件。鎢的氮化物層可用來作為鎢內連線、貫孔與插塞用的擴散阻障層,提供相對低的電阻率以及與介電層的良好黏著。然而,與使用低電阻率鎢層與鎢之氮化物層相關的問題讓此些材料無法在半導體元件中被一起使用。
本文中揭露三元鎢硼氮化物(WBN)薄膜以及相關的形成方法。此些薄膜具有優異的熱穩定性、可調整的電阻率及對氧化物的良好黏著性。此些薄膜的形成方法可涉及熱原子層沈積(ALD)處理,在此處理中依序地以脈衝形式將含硼、含氮與含鎢反應物通入反應室中以沈積WBN薄膜。在某些實施例中,該方法包含含硼、含氮與含鎢反應物脈衝的複數循環,其中每一循環包含複數含硼脈衝。根據不同的實施例,WBN薄膜可以是鎢內連線與導線的阻障層、如相變記憶體或噴墨印表機等應用的薄膜電阻加熱器元件、及閘極堆疊中的膜層。
在某些實施例中,本文中所述的方法涉及原子層沈積(ALD)處理。以循環的方式重覆此處理直到達到期望的厚度為止。一般而言,ALD沈積為藉著依序注射反應物至反應室與自反應室移除反應物而在晶圓表面上依序沈積複數原子級之膜層的方法。反應物可物理地及/或化學地吸附在反應室中之基板的表面上及/或與先前已吸附之一或多種反應物的膜層反應。在本文所述的實施例中,依序將反應物的脈衝注射至反應室中並自反應室吹淨反應物以形成WBN薄膜。在本文中所用的ALD廣泛地體現了依序添加用以在基板上進行反應之反應物的循環處理。在某些實施例中,本文中所述的ALD處理為熱ALD處理。熱ALD處理為非電漿處理。在某些情況中,僅藉由熱能來提供表面反應所需的活化能。在某些其他的情況中,可使用一或多種形式的額外能量如UV輻射。
根據不同的實施例,該方法涉及在每一ALD處理循環中有複數含硼反應物劑次以提供可與含鎢前驅物反應的充分硼。在某些實施例中,該方法涉及在每一ALD處理循環中有複數含硼與複數含氮反應物劑次。在薄膜中的W、B與N比例可調整以達到期望的電阻率及其他薄膜特性。圖 1-5之方法流程圖顯示了沈積三元WBN薄膜之方法實例中的操作。
首先參考圖1,方法開始於將基板提供至沈積室(101)。基板可以是已部分製造完成的積體電路基板、相變記憶體基板或期望在其上使用WBN薄膜的任何基板。下面將參考圖9A與9B來說明沈積室的實例。一般而言,沈積室可包含基板支撐件、一或多個氣體入口及排放裝置。該方法繼續,將含硼反應物以脈衝方式提供至沈積室(103)中。含硼反應物可以是能夠有效地還原含鎢反應物之任何處理可匹配的化合物。含硼反應物通常是硼烷如硼烷(BH3)、二硼烷(B2H6)、三硼烷(B3H7)等。在某些實施例中,方塊101之劑量或脈衝時間相對地短,例如介於0.25秒至1秒(取決於流量、壓力、基板尺寸等,可擴大此範圍)。對於300mm的晶圓而言,例示性的流量範圍係介於50sccm至500sccm。當利用Ar或其他惰性氣體作為載氣時,例示性的腔室壓力的範圍介於1至25torr,其中含硼反應物之分壓的範圍介於1mTorr至1Torr。含硼反應物(或其基團)會吸附至基板表面上。
在方塊103之後,可自沈積室吹淨或排放過量的反應物與副產物。如下所將述,在某些實施例中,在方塊103之後會進行相對較長的吹淨劑次。此方法繼續,將含氮反應物以脈衝形式提供至沈積室(105)中。適合的含氮反應物的實例包含N2、NH3與N2H4。在未有特別反應的情況下,至少部分的含氮反應物會與已吸附的含氮反應物反應而形成BxN。含硼反應物的部分量會留下來與含鎢反應物反應。在使用NH3或N2H4的情況下,可能會形成可與含鎢反應物反應之較高次的硼烷BxHy如B6H10。硼本身亦可與含鎢反應物反應。在方塊105之後,可自沈積室吹淨或排放過量的反應物與副產物。若使用惰性吹淨氣體,取決於沈積室的體積,例示性的流量範圍介於5slm至100slm。
在方塊107處,若已經進行了方塊103加方塊105 n次,則此方法繼續進行方塊109。若尚未,則此方法回到方塊103提供含硼反應物的另一脈衝。變數n為大於1的整數,通常至少為3,但在某些情況下,重覆兩次方塊103加105可能便足夠。一旦已進行了方塊103加方塊105 n次後,此方法繼續,將含鎢反應物以脈衝形式提供至沈積室(109)中。可使 用任何可匹配處理的含鎢反應物,包含WF6、WCl6與W(CO)6。在某些實施例中,含鎢反應物可以是有機的鎢前驅物。有機鎢前驅物的實例包含二(烷基亞氨基)二(烷基氨基)化合物如二(第三丁基亞氨基)二(二甲基氨基)鎢。含鎢反應物會受到還原,形成三元WBN薄膜。在方塊109之後,可自沈積室吹淨或排放過量的反應物與副產物。這完成了複數循環沈積中的一個循環。若未達到期望的厚度(方塊111),此方法回到方塊103,其中方塊107中的計數通常會重設至零俾使下一個循環亦包含含硼反應物/含氮反應物脈衝的複數次循環。在某些實施例中可修改圖1中之部分操作的順序。例如,在方塊103-107之次循環中,方塊105可在方塊103之前進行。在某些實施例中,方塊109可在方塊103-107之次循環之前進行。
圖2顯示方法流程圖之另一實例,說明在沈積三元WBN薄膜之方法實例中之操作。如圖1中所示,圖2中的方法開始,將基板提供至沈積室(201)。方塊201係類似於上述之方塊101。方法接著繼續,以脈衝方式將含硼反應物提供至沈積室(203)。含硼反應物的實例係如上所述。在方塊205處,若方塊203已進行了n次,則方法繼續至方塊207。若尚未進行n次,則方法返回方塊203進行含硼反應物的另一脈衝。變數n為大於1的整數,通常至少為3,但在某些情況中,重覆方塊203兩次便已足夠。含硼反應物或其基團會吸附至基板上。在某些實施例中,複數次的含硼反應物脈衝會被吹淨氣體的脈衝所分隔。例如,在每進行一次方塊203之後且在進行方塊205之前,自沈積室吹淨或排放過多的反應物。
一旦已進行方塊203 n次後俾以將基板曝露至含硼反應物的n次脈衝後,方法繼續,以脈衝方式將含鎢反應物提供至沈積室(207)中。含鎢反應物被已吸附的含氮反應物還原為鎢。不若用以形成金屬鎢或鎢之氮化物薄膜的還原劑缺乏區域其中還原劑會全部被實質上消耗,硼會留在薄膜中。在方塊207後可自沈積室吹淨或排放過量的反應物與副產物。方法繼續,以脈衝方式將含氮反應物提供至沈積室中以形成WBN薄膜(209)。這完成了複數循環沈積中的一個循環。若期望額外的厚度(方塊211),此方法回到方塊203,其中方塊205中的計數通常會重設至零俾使下一個循環亦包含含硼反應物脈衝的複數次循環。在某些實施例中可修改圖2中之部分 操作的順序。例如,在每一次循環中方塊209可在方塊209之前進行。在某些實施例中,在方塊207與209之間可進行方塊203-205的次循環。
圖3顯示方法流程圖之另一實例,說明在沈積三元WBN薄膜之方法實例中之操作。以相同於圖1與2中所示的方式開始方法,將基板提供至沈積室(301)。方法繼續,以脈衝方式將含鎢反應物提供至沈積室(303)。含鎢反應物的實例係如上所述。在方塊303之後,自沈積室吹淨或排放過量的反應物與副產物。方法繼續,以脈衝方式將含氮反應物提供至沈積室(305)以將氮導入薄膜中。接下來,以脈衝方式將含硼反應物提供至沈積室(307)中。在方塊309處,若已進行了方塊307 n次,則方法繼續至方塊311進行另一個循環。若尚未進行方塊307 n次,則方法返回方塊309進行含硼反應物的另一脈衝。變數n為大於1的整數,通常至少為3,但在某些情況中,重覆方塊309兩次便已足夠。在某些實施例中,複數次的含硼反應物脈衝會被吹淨氣體的脈衝所分隔。例如,在每進行一次方塊309之後,自沈積室吹淨或排放過多的反應物。含硼反應物會還原含鎢反應物以形成鎢,並使剩餘硼的至少一部分被包含在薄膜中。WBN薄膜係由方塊311所形成。若期望額外的厚度(方塊311),此方法回到方塊303,其中方塊309中的計數通常會重設至零俾使下一個循環亦包含含硼反應物脈衝的複數次循環。在某些實施例中可修改圖3中之部分操作的順序。例如,在每一次循環中方塊305可在方塊303之前進行。
圖4顯示方法流程圖之另一實例,說明在沈積三元WBN薄膜之方法實例中之操作。以相同於圖1至3中所示的方式開始方法,將基板提供至沈積室(451)。方法繼續,以脈衝方式將含硼反應物提供至沈積室(453)中。含硼反應物的實例係如上所述。在方塊453之後,自沈積室吹淨或排放過量的反應物與副產物。方法繼續,以脈衝方式將含鎢反應物提供至沈積室(455)以將鎢導入薄膜中。在方塊457處,若已進行了方塊453加455 n次,則方法繼續至方塊459,要不然將重覆方塊453加455。變數n為大於1的整數,通常至少為3,但在某些情況中,重覆方塊453加455兩次便已足夠。接下來,以脈衝方式將含氮反應物提供至沈積室(459)。藉由方塊461來形成WBN薄膜。若期望額外的厚度(方塊461),此方法回到方塊 453,其中方塊457中的計數通常會重設至零俾使下一個循環亦包含含硼與含鎢反應物脈衝的複數次循環。
圖5顯示方法流程圖之另一實例,說明在沈積三元WBN薄膜之方法實例中之操作。以相同於圖1至4中所示的方式開始方法,將基板提供至沈積室(551)。方法繼續,以脈衝方式將含硼反應物提供至沈積室(553)。在方塊553後自沈積室吹淨或排放過量的反應物與副產物。在方塊555處,若已進行了方塊553 n次,則方法繼續至方塊557,要不然將重覆方塊553。在方塊557處,方法繼續,以脈衝方式將含鎢反應物提供至沈積室以將鎢導入薄膜中,接下來方法繼續至方塊559,以脈衝方式將含氮反應物提供至沈積室中以將氮導入薄膜中。在方塊561處,若已進行了方塊557加559 m次,則方法繼續至方塊563,要不然將重覆方塊557加559。在圖5中的變數n與m皆為大於1的整數,通常至少為2。WBN薄膜係由方塊563所形成。若期望額外的厚度(方塊563),此方法回到方塊553,其中方塊555與561中的計數通常會重設至零俾使下一個循環亦包含反應物脈衝的複數次循環。
上述的方法涉及將基板曝露至比含鎢反應物更多的含硼反應物,以允許大量的硼被包含至薄膜中。在某些實施例中,這涉及了含硼反應物脈衝對含鎢反應物脈衝的數目比至少為2:1。根據各種實施例,此比例可至少為3:1、4:1或更高。在本文所述的方法中,一脈衝可以是氣體的單一注射或者數次短的相繼注射。在某些實施例中,方法涉及了含硼反應物注射對含鎢反應物注射的次數比至少為2:1、3:1或更高。
在某些實施例中,亦可以變化脈衝的相對流量以調整W、B與N的濃度。針對300mm的晶圓,例示性的流量範圍可自約60sccm至約300sccm,流量會隨著面積呈線性放大或縮小。亦可變化劑量時間,含硼反應物之短脈衝的較多次數比長脈衝的較少次數提供更充足的硼含入。又,在某些實施例中,至少在含硼反應物脈衝之後進行較長的吹淨時間。在某些實施例中,吹淨時間至少是含硼反應物之時間的5倍。在某些實施例中,吹淨時間至少約含硼反應物之時間的約10倍或甚至15倍。例如,在0.5秒之含硼反應物劑量後可進行7秒的吹淨。在不限於特定理論的情 況下,一般相信,在吹淨期間實質上移除所有副產物可大幅地協助硼含入。例如,若吹淨具有實質上較高的流量與壓力,則可使用較低的吹淨時間對含硼反應物劑量時間的比值。WBN薄膜的製造可在廣泛的溫度範圍內進行。根據不同的實施例,其可在低於300℃的晶圓溫度(例如275℃)或上至大於400℃的溫度下進行。
藉著改變上述方法中之次循環的數目(即數目n)可有效地調整在WBN薄膜中鎢、硼與氮的相對量是以調整其物理、電與化學特性。可使用圖1中所述之每一次循環中皆包含含氮反應物脈衝與含硼反應物脈衝的方法來沈積高電阻率薄膜,電阻率會隨著氮含量而增加。因此,參考圖1所述之方法可用以隨著鎢與硼的量來調整氮的量。一般而言,參考圖1所述之方法所沈積得到之薄膜所具有的氮含量會大於參考圖2與3所述之方法所沈積得到之薄膜所具有的氮含量。
參考圖2與3所述之方法所沈積得到的薄膜將會有類似的類似處理條件、劑量與時間等。然而在某些情況下,在將基板曝露至其他反應物之前將基板曝露至含鎢反應物(如圖3中所示)是有利的,反之亦然。尤其,發現對於在TEOS氧化物上的沈積而言,若如圖3中所示將基板曝露至含鎢反應物會得到較佳的黏著結果。TEOS氧化物為自正矽酸四乙酯Si(OC2H5)4所形成的二氧化矽,正矽酸四乙酯Si(OC2H5)4會分解形成SiO2。圖3中所示之方法可類似地有利於用於其他二氧化矽薄膜。對於期望氮位準調整之在SiO2薄膜上的沈積而言,可修改圖1中所示的方法使得方塊109在方塊103-107之前進行以將薄膜先暴露至含鎢反應物。對於在低介電常數(low-k)氧化物如是摻雜碳的氧化物、閘極氧化物、鎳、矽化鎳、其他金屬矽化物、矽上的沈積而言,由於含鎢反應物包含氟或其他鹵素或高度反應性的基團,較佳地可將薄膜先曝露至含硼反應物以避免可能的攻擊。WBN薄膜為非晶性的,根據各種實施例可具有下列的原子百分比:W介於約5%至約70%;B介於約5%至約60%;及N介於約20%至約80%。在某些實施例中,WBN薄膜具有下列的原子百分比:W介於約15%至約50%;B介於約15%至約50%;及N介於約20%至約50%。其他雜質可以少於1原子%的量存在。電阻率會隨著鎢濃度的減少而增加,並會隨著氮濃度的增加而增加。隨著 硼的添加可增加其熱穩定性與其對氧化物的黏著性。
應用
下面會說明三元WBN薄膜的各種例示性應用。應注意,此些敘述只是例示性的。其意不在排除其他應用也不在將本發明的用途排除在所述的方法的變化或組合之外。
熱ALD之WBN沈積方法可用於產生接觸件用之鎢插塞或IC晶圓製造時的通孔填充。WBN膜層具有鎢接觸件之擴散阻障層的作用且亦具有襯層或黏著層的作用。WBN阻障層及/或黏著層係用以使鎢插塞填充直接接觸鎢、金屬矽化物(如TiSix、CoSix、NiSix或WSix)、矽(N+或P+)、或其他導電材料。由於WBN薄膜製造可在低晶圓溫度(例如275℃)下進行,其亦可被用於某些特別的應用如需要低處理溫度以避免元件退化或功能性材料受到損害的磁阻隨機存取記憶體(MRAM)。圖6顯示在銅內連線401與鎢通孔402之間之三元WBN擴散阻障層408的概略實例。亦顯示了氮化矽的銅蓋層404。WBN擴散阻障層408具有對氧化物407的良好黏著性。
在某些實施例中,WBN膜層可以是包含下列者之積體接觸插塞膜堆疊的一部分:WBN(阻障-黏著層)/脈衝的成核層W(PNL-W)(成核層)/CVD-W(主要的導體與大塊插塞填充)。PNL-W成核層係載於美國專利US 7,005,372、US 7,262,125、US 7,589,017、US 7,772,114與US 8,058,170中,將其內容包含於此作為參考。
圖7顯示一方法流程圖的實例,其顯示了形成積體插塞填充堆疊之方法實例中的操作。以圖1-5所述的方式沈積三元WBN層(501)。在某些實施例中,次循環的數目(n)係介於約3至5例如3。根據不同的實施例,沈積介於約20Å至100Å厚的WBN膜層。在WBN膜層上沈積低電阻率之鎢層(503)。鎢成核層為能促進大塊鎢成長的薄共形層。鎢成核層通常比大塊鎢層具有更高的電阻率。為了將鎢層(即鎢成核層與大塊鎢層)的電阻率維持在較低的值,一般而言應使鎢成核層儘可能地薄。鎢成核層的厚度範圍通常介於5Å至50Å。
在圖5所示的實例中,利用PNL技術來沈積鎢成核層。在 PNL沈積處理中,如上述形成鎢層,相繼地將還原劑、吹淨氣體與含鎢前驅物的脈衝注射至反應室中並自反應室吹淨。循環地重覆此處理直到達到期望的成核層厚度(應注意,本文中所述之WBN薄膜沈積技術可以被認為是PNL處理並被稱為PNL-WBN)。
關於PNL型處理以沈積鎢成核層的額外討論可見上面參考的美國專利US 7,589,017,其揭露了在相對低的溫度如300℃下沈積PNL-W成核層。在沈積後,對鎢成核層進行處理以降低其電阻率。可能的處理包含將鎢成核層曝露至還原劑如矽烷或硼烷(例如二硼烷)的脈衝或者高溫處理。低電阻率之處理係載於上面參考的美國專利US 7,589,017、US 7,772,114與8,058,170以及美國專利申請案US 12/755,248,將其包含於此作為參考。
在獲得期望的鎢成核層厚度並對其進行處理後,利用CVD將大塊鎢沈積至成核層上(505)。可使用利用任何適合之含鎢前驅物的任何適合的CVD處理。在某些實施例中,鎢前驅物為WF6、WCl6與W(CO)6中的一者。常常,利用此些前驅物中的一或多者與分子氫的混合物來進行CVD處理。在其他的實施例中,CVD處理可使用鎢前驅物與矽烷、氫與矽烷的混合物、或氫與硼烷(如二硼烷)的混合物。亦可使用非CVD處理來形成大塊鎢層。其包含ALD、PNL或PVD。利用低電阻率鎢處理所沈積之鎢層(即鎢成核層與大塊鎢層)的電阻率係介於約10μΩ-cm至約30μΩ-cm,但電阻率會取決於膜層的厚度。
可將大塊鎢層沈積至任何厚度。積體電路應用用的鎢內連線可具有介於約20Å至1,000Å的總厚度(鎢成核層與大塊鎢層)。對於一般的位線而言,總鎢層厚度通常不會高於約500Å。
通孔與接觸件用之傳統薄膜堆疊包含了Ti/TiN/W成核層/CVD-W。如參考圖7所述,三元WBN薄膜可用以將此堆疊簡化為WBN/PNL-W(成核層)/CVD-W。取代Ti/TiN的優點包含:1)對於深寬比大於20:1之接觸件與通孔的填充能力;2)免除了Ti-TiN沈積設備與處理步驟,包含免除了PVD-Ti;3)降低了半導體晶圓之最大處理溫度的需求;4)降低了CMP之後鎢插塞之中央縫隙開口,以及沈積較薄的膜層、降低電阻值。
又,WBN/低電阻率W比WN/低電阻率鎢更具有優勢。低電阻率鎢可藉著增加W的晶粒大小而達到低電阻率。然而增加晶粒大小可能會需要在阻障層與氧化層之間有更強的黏著性才能進行CMP而不發生中央縫隙開口、斷路或阻障層自氧化層脫層。在WN阻障層上之使用含硼還原劑(例如在上面參考之專利與專利申請案中所述者)之低電阻率的成核層具有黏著性問題。如下面將更一步地說明,本文中所述之三元WBN薄膜比熱ALD WN薄膜展現出對氧化層更佳的黏著性。
WBN可形成在通孔或接觸孔中,直接位於介電材料上或具有PNL-W晶種層。大塊鎢層可藉由PNL、ALD、CVD或其組合所沈積。又,在WBN沈積之前,此方法可與除氣及/或預洗操作(例如電漿蝕刻)整合。在某些情況下,可有利地產生TiN/WBN的組合阻障層。
本應用所用的反應器可支持單晶圓處理或WBN與鎢CVD整合在單一模組中的多站依續沈積。在某些實施例中,將晶圓預熱、預洗及WBN沈積結合至一個多站處理模組中,並將第二個處理模組專用於沈積PNL-W與CVD-W。在某些情況中,晶圓預熱/除氣與晶圓預洗的每一者可具有整合叢集設備上的獨立處理模組以提供較大的處理彈性。
例示性的處理流程包含下列者:
1. WBN-PNLW成核層-CVD-W大塊插塞填充
2. PNL-W晶種層/WBN襯墊阻障層/PNL-W成核層/CVD-W大塊插塞填充
3. 預熱/晶圓預洗/PNL-W晶種層/WBN襯墊阻障層/PNL-W成核層/CVD-W插塞填充
4. WBN襯墊阻障層-CVD-W插塞填充
5. WBN-W與除氣、預洗(DFE或反應性清洗)整合
6. WBN-W與除氣、預洗(DFE或反應性清洗)及HCM-Ti(藉由中空陰極磁控所沈積之薄鈦層。
注意,「DFE」為雙頻蝕刻。例如,Lam Research® Corporation所製造的TNOVA晶圓預洗設備使用來自雙頻電感電漿的Ar離子以提供高電漿密度(低頻成分)與可獨立控制的離子加速(高頻成分)。
在另一應用中,本文中所述之三元WBN薄膜可單獨用來作為金屬電極或者在WBN/W的薄膜堆疊中作為金屬閘極。更一般而言,WBN膜層可具有黏著層、阻障層及/或上或下電容器電極之主要導體的功能。已知高電極功函數能降低記憶胞電容器中的漏電。在本文所述的方法中,可以上述方法來調整N階層是以能調整功函數。鎢可藉由PNL、ALD、CVD或其組合所形成。可進行除氣及/或預洗。且可使用單晶圓處理或多站依序沈積。
應瞭解,積體電路之電容器電極目前係由CVD-TiN與高摻雜之多晶矽的薄膜堆疊所形成。基於TiCl4之CVD-TiN及多晶矽的沈積溫度分別是>550℃與>600℃。此些高溫會導致雜質(例如Cl)被驅入至電容器之介電層中以及TiN阻障層的氧化,上述兩者都會降低電容值並增加電容器的漏電。WBN-W電容器電極可大幅地降低製造時的熱循環是以降低與改善漏電或得到漏電量相匹配的退火後電容值。可使用下列的處理流程來沈積上或下電容器電極。
1)WBN/PNLW成核層/CVD-W
2)WBN/PNL-W/CVD-W
3)WBN-CVD-W插塞填充
4)WBN-W與除氣、預洗(DFE或反應性清洗)整合
電容器可以是溝槽式電容器、鰭式電容器、板式電容器或適合IC應用的任何其他結構。在堆疊電容器的情況中,可將下電極沈積於多晶矽的下電極上以促進結構形成。三元WBN與PNL-W的極高階梯覆蓋率為致使WBN滿足現代半導體記憶胞電極所需的特徵。
在另一例示性的應用中,WBN具有黏著層、阻障層或閘極中之主要導體的功能。可將WBN直接施加在閘介電層上或多晶矽電極上以降低多晶矽導線的厚度需要。
電晶體閘極應用的某些特性包含可調整功函數、熱穩定性與對氧化的抵抗。修改剛沈積薄膜之WBN的化學計量可調整WBN薄膜的功函數。WBN或WBN/W薄膜堆疊作為閘極能提供金屬閘極,金屬閘極能抵抗一般在非金屬閘極例如由多晶矽所製造之閘極中所觀察到的電荷空乏現象。電荷空乏會有效地增加閘介電厚度。W/WBN閘極亦可形成在多晶矽閘極上以在 不改變閘介電層/多晶矽介面的情況下降低多晶矽閘極的高度要求。
如上所述,製造疊層之PNL-W/PNL-WN閘極以促進混合N+與P+電晶體元件之功函數調變是可貴的。
各種可能的處理流程包含:
1)WBN-PNL-W-CVD-W大塊沈積與內連線
2)疊層之PNL-W/WBN/CVD-W大塊沈積與內連線
3)WBN/PNL-W閘極具有多晶矽插塞與內連線
4)WBN/PNL-W/CVD-W在薄多晶矽閘極上以減少多晶矽的厚度要求
5)WBN-CVD-W插塞填充
設備的配置選擇包含: 1)WBN-W整合除氣、預洗(DFE或反應性清洗)與 2)單晶圓處理或多站依序沈積,在多站依序沈積的情況下WBN、PNL與WCVD係整合在單一模組中。
在另一例示性的應用中,本文中所述的三元WBN薄膜可用來作為電阻元件。電阻加熱元件的一個應用是在相變記憶胞中,圖8顯示了其實例。記憶胞包含導電層610、下電極630、相變材料660、第二電極640與加熱器元件650。下電極630係位於第一介電層620中,三元WBN薄膜元件650係位於第二介電層670中。記憶胞可形成在半導體基板上。
相變材料660通常是硫族化合物的玻璃材料,但亦可使用受到熱或被吸取熱時能自結晶態改變成非晶態或反之亦然的其他材料。由於硫族材料之非晶態的電阻率係明顯地高於結晶態的電阻率,因此可使用相變材料來儲存數據。非晶的高電阻態係用來代表一位元的數值(0或1),結晶的低電阻態係用來代表另一位元值。
導電層610可以是利用相變材料來程式化或讀取已儲存之資訊的定址線如行線、列線、位線或字線。導電層可連接至外部的定址電路。
電極係由導電材料所製成。在圖8所示的實例中,下電極630為插塞如鎢插塞。第一介電層620可以是氧化矽、氮化矽或任何其他類 的電絕緣材料。第二介電材料670是電與熱絕緣的且可由與介電層620相同或不同的材料所製成。
三元WBN加熱器元件650產生熱以回應通過相鄰電極即下電極630的電流。三元WBN加熱器元件650的電阻率比電極630的電阻率更高以自電流生成熱。在操作時,施加電流或電壓脈衝至加熱器元件以產生熱。加熱器元件所產生的熱會將相變材料加熱至高於其結晶溫度但低於其熔化溫度的溫度以達到結晶態,其通常代表位元值1。為了將相變材料改變回其非晶態,加熱器元件將材料加熱至高於其熔點的溫度。接著相變材料冷卻以達到非晶態,其通常代表位元值0。三元WBN薄膜可具有高電阻率(對於50-60埃的薄膜例如約5000μΩ-cm)、在上至900℃的退火溫度下穩定,且與下方表面(例如圖8中的鎢插塞電極)具有電接觸。如下面將更進一步地說明,WBN薄膜在退火時的熱穩定特性比二元WN薄膜更佳。
其他應用包含了在DRAM元件中沈積位線或字線局部內連線用的阻障層與黏著層。在另一應用中,WBN薄膜可具有半導體應用中氧化物上之W沈積用之黏著層的功用,此半導體應用例如是CCD元件的遮光件。
設備
圖9A之方塊圖顯示了根據本發明實施例之適合用來進行鎢薄膜沈積處理的處理系統。系統700包含傳送模組703。傳送模組703提供潔淨、加壓的環境以將正受到處理之基板在被傳送於各種反應器模組之間時的污染風險降至最低。安裝在傳送模組703上的是多站反應器707與709,707與709每一者皆可進行根據本發明實施例之PNL沈積及/或CVD。例如,反應室707可用以進行如本文中所述之鎢硼氮化物的PNL沈積。根據不同的實施例,在WBN沈積期間晶圓被傳送於站與站之間,或者可維持在一站中平行地進行WBN沈積。又,WBN沈積可在單一站反應室中進行。可配置反應室709使得一站進行成核層的PNL沈積、一站進行多脈衝還原劑處理及兩站進行CVD。每一沈積站可包含經加熱的晶圓座與噴淋頭、分配板或其他氣體入口。沈積站900的一實例係顯示於圖9B中,其包含晶圓支撐件902與噴淋頭903。加熱器可設置在座部901中。
亦可以安裝於傳送模組703上的是一或多個能夠進行電漿或化學(非電漿)預洗的單站或多站模組705。該模組亦可用於其他處理例如沈積後的氮化處理。系統700亦包含用以在晶圓受到處理之前或之後儲存晶圓的一或多個(在此例中為兩個)晶圓源模組701。在大氣傳送室719中的大氣機械手臂(未顯示)先將晶圓自源模組701移動至加載室721。在傳送模組703中之晶圓傳送裝置(通常為機械手臂單元)將晶圓自加載室721移動至安裝於傳送模組703上的模組並於模組之間傳送晶圓。
在特定的實施例中,系統控制器750係用以在沈積期間控制處理條件。控制器通常包含一或多個記憶體元件及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器可控制沈積設備的所有活動。系統控制器執行系統控制軟體,包含多組指令用以控制特定處理的時序、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓夾頭或晶圓座位置及其他參數。在某些實施例中可使用與控制器相關之儲存在記憶體元件上的其他電腦程式。
通常有一個與控制器相關的使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件的圖形化軟體顯示及使用者輸入裝置如點選裝置、鍵盤、觸控螢幕、麥克風等。
用以控制處理程序中之沈積與其他處理的電腦程式碼可以任何傳統的電腦可讀語言所寫成:例如組合語言、C、C++、Pascal、Fortran或其他。經編譯的物件碼或程式碼係由處理器執行以進行在程式中所識別出的任務。
控制器參數關於處理條件如處理氣體組成與流量、溫度、壓力、電漿條件如RF功率位準與低頻RF頻率、冷卻氣體壓力及腔室壁之溫度。此些參數以配方的形式被提供予使用者且可利用使用者介面加以輸入。
可藉由系統控制器的類比及/或數位輸入連接來提供監測處理用的訊號。控制處理用的訊號被輸出於沈積設備的類比與數位輸出連接上。
可以許多不同的方式來設計或配置系統軟體。例如,可將各種腔室元件的子程序或控制物件寫成執行本發明之沈積處理所必須之腔室元件的控制操作。此目的之程式或程式部分的實例包含了基板位移碼、處理氣體控制碼、壓力控制碼、加熱器控制碼及電漿控制碼。
基板位移程式可包含用以進行下列者的程式碼:控制用以將基板載至晶圓座上的腔室元件或夾頭以及控制基板與腔室之其他部件如氣體入口及/或目標之間的間距。處理氣體控制程式可包含用以進行下列者的程式碼:控制氣體組成與流量及在沈積前選擇性地使氣體流入腔室以穩定腔室中的壓力。壓力控制程式可包含用以進行下列者的程式碼:藉著調整例如腔室之排放系統中的調節閥以控制腔室中的壓力。加熱器控制程式可包含用以進行下列者的程式碼:控制流至用以加熱基板之加熱元件的電流。或者,加熱器控制程式可控制熱傳導氣體如氦氣至晶圓夾頭的輸送。
在沈積期間可受到監測之腔室感測器的實例包含質量流量控制器、壓力感測器如壓力計及位於晶圓座或夾頭中的熱電偶。可利用來自此些感測器的數據來進行適當的程式反饋與控制演算法以維持期望的處理條件。
上面敘述了本發明之實施例在單晶片或多腔室半導體處理設備中的施行。
實驗
圖10顯示利用多循環ALD處理所沈積之WBN薄膜的二次離子質譜儀(SIMS)分析結果,其中每一循環具有下面的程序:3次(B2H6)脈衝→1次NH3脈衝→1次WF6脈衝。在反應物脈衝之間使用吹淨氣體的脈衝。尤其,B2H6脈衝為0.5秒接著是7秒的吹淨。在TEOS薄膜上沈積200Å的WBN薄膜,並在WBN薄膜上沈積200Å的WN薄膜。流量為190sccm的B2H6、290sccm的NH3、250sccm的WF6。如圖10上中所示,每一ALD循環使用三次含硼反應物脈衝導致WBN薄膜中大幅地包含了硼,其中薄膜約有30% W、40% B與30% N。在WBN薄膜中W與N的總含量係類似於利用1次B2H6脈衝→1次WF6脈衝→一次NH3脈衝所獲得之WN薄膜中W與N的總含量,其中在WN 薄膜中幾乎不含硼(<1%)。X射線光電能譜(XPS)分析顯示薄膜包含W-B與W-N兩種鍵。量測WBN薄膜的電阻率,其類似於60Å厚之WN薄膜的電阻率。
WBN薄膜的熱穩定性亦優於WN薄膜。下表1顯示30分鐘之850℃退火兩次後WBN薄膜的原子濃度。正常的WN薄膜(例如約50% W、50% N)在經過此些條件的退火後會變成幾乎是純的W。
不若WN薄膜在高於700℃的溫度下會遭受氮損失,在經過了1小時的高溫退火後仍未在WBN薄膜中觀察到氮損失。熱穩定性是阻障膜的一項重要特性。
60Å WBN薄膜的四點彎曲分析顯示其與氮化物之間的黏著性優於WN薄膜。TEOS氧化物係沈積於矽基板上。利用下列處理將60Å WBN薄膜/1500Å低電阻率W薄膜的堆疊沈積在TEOS上:60Å WBN:以脈衝方式進行(B2H6)→NH3→WF6的循環三次。B2H6的脈衝為0.5秒然後是7秒吹淨。低電阻率W成核層:在300℃的無氫環境中進行(B2H6→WF6)的複數循環,然後進行CVD W沈積。
將環氧樹脂層施加在W上,然後施加四點彎折測試在WBN/W中進行裁切。在鎢與環氧樹脂的介面間發現故障但WBN薄膜仍然與氧化物黏著在一起。在類似的四點彎折測試中WN薄膜仍然傾向於與氧化物脫層。
在以上述相同方法填充至氧化物中的WBN/W通孔上進行化學機械研磨(CMP)。在CMP後通孔的SEM上視圖顯示出:1)由仍填充在通孔中的特徵部發現無鎢被拔除;及2)由於凹限的WBN阻障膜層因此無反差(contrast)。這亦為其優於WN/W通孔的一點,其在CMP後不會產生缺陷。
不限於特定的理論,一般相信WBN與氧化物之間的良好黏著性是由於(1)複數硼脈衝與高溫讓強B-O鍵形成在氧化物/WBN介面;及(2)WBN薄膜的良好阻障特性有效地避免來自CVD W處理的氟或其他雜質擴 散進入氧化物介面並影響黏著性。然而,如上所述,已顯示圖3中所述之在含硼反應物之前流入含鎢反應物的方法能提供與二氧化矽如TEOS氧化物的良好黏著性。
如上所述,可調整薄膜中的N位準是以調整電阻率。下表2顯示在5k Å TEOS上利用參考圖1所述之n(B2H6→1 NH3)→WF6複數循環之處理程序所沈積之三元WBN薄膜的電阻率,其中n為變動值。
表2顯示,可藉由改變(B2H6→1 NH3)次循環的數目來修改電阻率。較高的電阻率代表在三元WBN薄膜中有較高的氮位準。又,可藉著適當地調整氮位準來修改薄膜的功函數。
本文中所述的設備/處理可與微影圖案化設備或處理一起使用於例如製造半導體元件、顯示器、LED、光伏面板等。通常但並非必要,此類的設備/處理可在相同的製造廠務中一起使用或進行。薄膜的微影圖案化包含下列步驟的部分或全部,每一步驟可利用複數的可能設備:(1)利用旋塗或噴塗設備將光阻施加至工作件即基板上;(2)利用熱板或爐管或UV固化設備來固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽來顯影光阻以選擇性地移除光阻並藉此將其圖案化;(5)利用乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件;及(6)利用一設備如RF或微波電漿光阻剝除設備來移除光阻。
有許多替代方式來施行本文中所揭露之實施例的方法與設備。因此下列隨附之申請專利範圍意在被解讀為包含所有此類變化、修改、 排列及取代等效物如此其些等效物落在所揭露之實施例的真實精神與範圍內。
101‧‧‧將基板提供至沈積室
103‧‧‧將含硼反應物以脈衝方式提供至沈積室
105‧‧‧將含氮反應物以脈衝形式提供至沈積室
107‧‧‧是否已進行103/105 n次?
109‧‧‧將含鎢反應物以脈衝形式提供至沈積室以形成WBN薄膜
111‧‧‧是否已達到期望的厚度?

Claims (21)

  1. 一種在半導體基板上形成三元鎢硼氮化物薄膜的方法,包含:進行複數次如下之循環藉以在半導體基板上沈積三元鎢硼氮化物薄膜:以脈衝方式將含硼反應物、含氮反應物與含鎢反應物相繼導入包含該半導體基板的反應室,其中該三元鎢硼氮化物薄膜包含鎢-硼鍵及鎢-氮鍵,且其中該含硼反應物之脈衝次數對該含鎢前驅物之脈衝次數的比值至少是三。
  2. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中每一該循環包含複數次相繼進行的下列次循環:交替進行該含硼反應物與該含氮反應物之脈衝但期間並無插入任何該含鎢反應物的脈衝。
  3. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中每一該循環包含複數次相繼進行的該含硼反應物的脈衝但期間並未插入任何該含氮反應物的脈衝或該含鎢反應物的脈衝。
  4. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該基板在曝露至任何該含鎢反應物的脈衝之前會先曝露至該含硼反應物的脈衝。
  5. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該基板在曝露至任何該含硼反應物與該含氮反應物的脈衝之前會先曝露至該含鎢反應物的脈衝。
  6. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該三元鎢硼氮化物薄膜係沈積在一氧化物上。
  7. 如申請專利範圍第1至6項中任一項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中每一該循環包含在任兩次相繼之該含硼反應物的脈衝之間的吹淨氣體脈衝。
  8. 如申請專利範圍第7項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該含硼反應物的脈衝具有第一持續時間而緊接在該含硼反應物的脈衝之後的該吹淨氣體脈衝具有第二持續時間,該第二持續時間對該第一持續時間的比值至少約5。
  9. 如申請專利範圍第8項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該比值係至少約10。
  10. 如申請專利範圍第1至6項中任一項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中在該三元鎢硼氮化物薄膜中鎢的原子百分比係介於約5%至70%,在該三元鎢硼氮化物薄膜中硼的原子百分比係介於約5%至60%,在該三元鎢硼氮化物薄膜中氮的原子百分比係介於約20%至80%。
  11. 如申請專利範圍第1至6項中任一項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,更包含在至少約750℃的溫度下對該三元鎢硼氮化物薄膜進行退火。
  12. 如申請專利範圍第1至6項中任一項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,更包含將鎢成核層沈積於該三元鎢硼氮化物薄膜上。
  13. 如申請專利範圍第12項之在半導體基板上形成三元鎢硼氮化物薄膜的 方法,其中該鎢成核層係藉著將該含硼反應物與該該鎢反應物的脈衝交替導入包含該基板的反應室中所沈積。
  14. 如申請專利範圍第13項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該鎢成核層係在約250℃至350℃的溫度下沈積。
  15. 如申請專利範圍第1項之在半導體基板上形成三元鎢硼氮化物薄膜的方法,其中該三元鎢硼氮化物薄膜為非晶性的。
  16. 一種在半導體基板上形成三元鎢硼氮化物薄膜的設備,包含:反應室;半導體基板支撐件,位於該反應室中;一或多個氣體入口,用以將氣體輸送至該反應室;及控制器,包含複數機器可讀指令,該等指令包含用以進行複數次如下之循環藉以在基板上沈積三元鎢硼氮化物薄膜的程式碼:以脈衝方式將含硼反應物、含氮反應物與含鎢反應物相繼導入包含該半導體基板的該反應室,其中該三元鎢硼氮化物薄膜包含鎢-硼鍵及鎢-氮鍵,且其中該含硼反應物之脈衝次數對該含鎢前驅物之脈衝次數的比值至少是三。
  17. 如申請專利範圍第16項之在半導體基板上形成三元鎢硼氮化物薄膜的設備,其中該三元鎢硼氮化物薄膜為非晶性的。
  18. 一種三元鎢硼氮化物薄膜,具有:介於約5%至70%的鎢的原子百分比、介於約5%至60%的硼的原子百分比及介於約20%至80%的氮的原子百分比,其中該三元鎢硼氮化物薄膜為相變記憶胞的加熱器元件或鎢通孔的阻障層。
  19. 如申請專利範圍第18項之三元鎢硼氮化物薄膜,其中該鎢的原子百分比係介於約15%至50%,該硼的原子百分比係介於約15%至50%,該氮的原子百分比係介於約20%至50%。
  20. 如申請專利範圍第18項之三元鎢硼氮化物薄膜,其中該三元鎢硼氮化物薄膜為相變記憶胞的加熱器元件。
  21. 如申請專利範圍第18項之三元鎢硼氮化物薄膜,其中該三元鎢硼氮化物薄膜為鎢通孔的阻障層。
TW102126696A 2012-07-26 2013-07-25 三元鎢硼氮化物膜及其形成方法 TWI618811B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261676123P 2012-07-26 2012-07-26
US61/676,123 2012-07-26
US201261697775P 2012-09-06 2012-09-06
US61/697,775 2012-09-06

Publications (2)

Publication Number Publication Date
TW201425634A TW201425634A (zh) 2014-07-01
TWI618811B true TWI618811B (zh) 2018-03-21

Family

ID=49993977

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102126696A TWI618811B (zh) 2012-07-26 2013-07-25 三元鎢硼氮化物膜及其形成方法

Country Status (4)

Country Link
US (1) US9969622B2 (zh)
KR (1) KR102127530B1 (zh)
CN (1) CN103579184B (zh)
TW (1) TWI618811B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
CN107460450B (zh) * 2015-11-11 2021-03-19 南通大学 用于制备组分渐变的铝镓酸铋薄膜的装置
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
WO2017222682A1 (en) * 2016-06-24 2017-12-28 Tosoh Smd, Inc. Tungsten-boron sputter targets and films made thereby
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
CN114269963A (zh) * 2019-08-12 2022-04-01 朗姆研究公司 钨沉积
US20210091009A1 (en) * 2019-09-23 2021-03-25 Micron Technology, Inc. Integrated Assemblies Having Barrier Material Between Silicon-Containing Material and Another Material Reactive with Silicon
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11545431B2 (en) * 2020-11-16 2023-01-03 Nanya Technology Corporation Semiconductor device with carbon hard mask and method for fabricating the same
TW202338134A (zh) * 2021-11-30 2023-10-01 美商蘭姆研究公司 金屬填充中的傾斜襯墊

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916634A (en) * 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US20080124926A1 (en) * 2001-05-22 2008-05-29 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US20090142509A1 (en) * 2007-12-03 2009-06-04 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) Hard coating excellent in sliding property and method for forming same
US20100007797A1 (en) * 2008-07-08 2010-01-14 Zeitera, Llc Digital Video Fingerprinting Based on Resultant Weighted Gradient Orientation Computation
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100244260A1 (en) * 2008-10-09 2010-09-30 Panasonic Corporation Semiconductor device and method for fabricating the same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
CN102969240B (zh) 2007-11-21 2016-11-09 朗姆研究公司 控制对含钨层的蚀刻微负载的方法
KR20100029952A (ko) * 2008-09-09 2010-03-18 주식회사 하이닉스반도체 금속성 캡핑층을 구비한 상변화 메모리 소자 및 그 제조 방법
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916634A (en) * 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US20080124926A1 (en) * 2001-05-22 2008-05-29 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US20090142509A1 (en) * 2007-12-03 2009-06-04 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) Hard coating excellent in sliding property and method for forming same
US20100007797A1 (en) * 2008-07-08 2010-01-14 Zeitera, Llc Digital Video Fingerprinting Based on Resultant Weighted Gradient Orientation Computation
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100244260A1 (en) * 2008-10-09 2010-09-30 Panasonic Corporation Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US9969622B2 (en) 2018-05-15
CN103579184B (zh) 2017-04-12
CN103579184A (zh) 2014-02-12
US20140027664A1 (en) 2014-01-30
TW201425634A (zh) 2014-07-01
KR102127530B1 (ko) 2020-06-29
KR20140014020A (ko) 2014-02-05

Similar Documents

Publication Publication Date Title
TWI618811B (zh) 三元鎢硼氮化物膜及其形成方法
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
TWI704251B (zh) 字元線應用所使用的鎢
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
JP5376361B2 (ja) タングステン膜の製造方法および装置
KR20210027507A (ko) 순수 금속 막의 증착
KR101495372B1 (ko) 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법
CN110959186B (zh) 在互连金属化中沉积钌层
KR20200140391A (ko) 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR101108304B1 (ko) 질화 텅스텐의 증착
EP3574125A1 (en) Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping
CN102130046A (zh) 用于镶嵌互连件中的电迁移电阻改进的界面层
US20220013365A1 (en) Molybdenum templates for tungsten
US20220254685A1 (en) Nucleation-free tungsten deposition
TW202237880A (zh) 低電阻脈衝cvd鎢
WO2022182590A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces