TWI611550B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI611550B
TWI611550B TW105131073A TW105131073A TWI611550B TW I611550 B TWI611550 B TW I611550B TW 105131073 A TW105131073 A TW 105131073A TW 105131073 A TW105131073 A TW 105131073A TW I611550 B TWI611550 B TW I611550B
Authority
TW
Taiwan
Prior art keywords
layer
interlayer dielectric
dimensional material
wire pattern
metal wire
Prior art date
Application number
TW105131073A
Other languages
English (en)
Other versions
TW201810592A (zh
Inventor
李明翰
眭曉林
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI611550B publication Critical patent/TWI611550B/zh
Publication of TW201810592A publication Critical patent/TW201810592A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/43Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Abstract

一種半導體元件包含第一層間介電層,以及第一金屬導線圖案。第一層間介電層配置於基板上。第一金屬導線圖案形成於第一層間介電層中,且延伸至與基板平行之一第一方向。沿著與第一方向交叉且平行於基板之第二方向的截面中,第一金屬導線圖案的頂部被第一二維材料層覆蓋。

Description

半導體元件及其製造方法
本揭露是關於一種半導體積體電路,特別是一種具有二維金屬層之導線結構的半導體元件及其製造方法。
隨著半導體工業進入新的世代,積體電路具有更好的效能以及更佳的功能性。配置於電子元件(如:電晶體)上方的多層導線結構已廣泛應用。為了達到高速度與高可靠性的需求,發展出一種金屬導線的結構及製造方法。
本揭露之一實施例為一種半導體元件,包含第一層間介電層以及第一金屬導線圖案。第一層間介電層配置於基板上,而第一金屬導線圖案形成於第一層間介電層中,且延伸至與基板平行之第一方向,其中沿著與第一方向交叉且平行於基板之第二方向的截面中,第一金屬導線圖案的頂部被第一二維材料層覆蓋。
本揭露之另一實施例為一種一種半導體元件,包 含第一層間介電層、第一金屬導線圖案、第二層間介電層,以及第二金屬導線圖案。第一層間介電層配置於基板上。第一金屬導線圖案形成於第一層間介電層中,且延伸至與基板平行之第一方向。第二層間介電層配置於第一層間介電層以及第一金屬導線圖案上方。第二金屬導線圖案形成於該第二層間介電層中並連接至第一金屬導線圖案。沿著與第一方向交叉且平行於基板之第二方向的截面中,第二金屬導線圖案的頂部被第一二維材料層覆蓋。
本揭露之又一實施例為一種製造半導體元件之方法,包含形成第一層間介電層於基板上。形成第一溝槽於第一層間介電層中。形成金屬導線圖案於第一溝槽中。以及形成二維材料層於金屬導線圖案之頂部。
1‧‧‧基板
5‧‧‧下層結構
10、10A、10B、50‧‧‧層間介電層
12、45‧‧‧蝕刻停止層
15‧‧‧溝槽
20、21、60‧‧‧阻障層
30、31、35、36‧‧‧金屬層
33‧‧‧電漿處理
25、26、40、41、65、66、70、71‧‧‧二維材料層
55、57‧‧‧開口
80、85‧‧‧導電材料層
WP1、WP2、WP3、WP4、WP5、WP6、WP7、WP8‧‧‧導線圖案
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個態樣。應注意,根據業界中的標準做法,多個特徵並非按比例繪製。事實上,多個特徵之尺寸可任意增加或減少以利於討論的清晰性。
第1圖至第13圖為本揭露之一實施例之製造半導體元件之方法在各個階段的截面圖。
第14圖至第17圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。
第18圖至第23圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。
第24圖至第25圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
此外,空間相對術語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等在本文中用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與另一元件或特徵結構的關係。除了描繪圖示之方位外,空間相對術語也包含元件在使用中或操作下之不同方位。此設備可以其他方式定向(旋轉90度或處於其他方位上),而本案中使用之空間相對描述詞可相應地進行解釋。
第1圖至第13圖為本揭露之一實施例之製造半導體元件之方法在各個階段的截面圖。第1圖至第13圖描述了在一基板上方製造一個或多個金屬導線層的各個步驟。雖然仍有許多核心結構位於基板和金屬導線層之間,例如構成半導體元件(下述稱為下層結構)之電晶體或其他元件(如:接觸點等等),為了簡化之目的,這些元件的細節於第1圖至第13圖將省略。應了解額外的操作可在第1圖至第13圖之製程之前、之間或之後執行。在額外的實施例中,下述之部分操作可被取代或移除。各操作/製程之間的順序亦具有可交換性。
如第1圖所示,在配置於基板1上之下層結構5的上方形成第一層間介電層10(interlayer dielectric;ILD)。下層結構5包含電晶體、電阻、電容、區域導線、隔離層及/或元件隔離層。
層間介電層亦可稱為金屬內介電層(inter metal dielectric;IMD)。第一層間介電層10可由以下材料組成,例如:一層或多層之氧化矽基(silicon oxide-based)材料、氮化矽基(silicon nitride based)材料,以及低介電常數(low k)材料。低介電常數材料之介電常數低於約3.5。部分低介電常數材料之介電常數低於約3.5且可低於約2.5。氧化矽基材料可為二氧化矽(silicon oxide)、氮氧化矽(SiON),或氮碳氧化矽(SiOCN)、氫氧碳化矽(SiCOH)。氮化矽基材料可為氮化矽(silicon nitride)、氮氧化矽(SiON)、氮碳化矽(SiCN),或氮碳化矽(SiOCN)。
第一層間介電層10之材料可為有機材料,例如聚 合物。例如,第一層間介電層10由一層或多層之含碳(carbon-containing)材料、有機矽酸鹽玻璃(organo-silicate glass)、含成孔劑(porogen-containing)材料,及/或上述之組合。第一層間介電層10可為多孔隙(porous)層。於一實施例中,第一層間介電層10之密度小於3g/cm3。於另一實施例中,第一層間介電層10之密度小於2.5g/cm3。第一層間介電層10可用如電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、低壓化學氣相沉積(low pressure CVD;LPCVD)、原子層化學氣相沉積(atomic layer CVD;ALCVD),及/或旋塗技術。在電漿輔助化學氣相沉積的案例中,薄膜沉積在基板上的溫度範圍約攝氏25度至約攝氏400度,且壓力小於100托(Torr)。
於部分實施例中,第一層間介電層10可包含層間絕緣薄膜(inter-layer insulating film)及導線間絕緣薄膜(inter-wire insulating film),使得金屬導線主要會形成在導線間絕緣薄膜內。導線間絕緣薄膜可包含碳氧化矽薄膜,而導線間絕緣薄膜可包含四乙氧基矽烷(tetraethylorthosilicate;TEOS)薄膜。
形成金屬導線圖案之操作包含鑲嵌(damascene)製程。在鑲嵌製程中,一層或多層的金屬材料形成於第一溝槽15中以及第一層間介電層10的上表面。並執行平坦化操作,諸如化學機械研磨製程(chemical mechanical polishing;CMP),及/或回蝕刻(etch-back)方法,以移除金屬材料形成於第一層間介電層10的上表面之部分。
如第2圖所示,於第一層間介電層10內形成溝槽15是藉由圖案化操作,諸如光微影及蝕刻製程。於部分實施例中,一個或多個導孔(via hole)可形成於第一溝槽15的底部,用於連接下層結構5之一個或多個元件。於部分實施例中,第一溝槽15包含作為導孔部分的下半部以及作為導線部分的上半部,導線部分向Y方向延伸,其中Z方向為堆疊之方向(垂直基板的方向)。
於部分實施例中,可使用蝕刻停止層12,用於界定第一溝槽15之底部。在此案例中,第一層間介電層10可包含下方第一層間介電層10A以及上方第一層間介電層10B,其中蝕刻停止層12位於上述兩者之間。下方第一層間介電層10A與上方第一層間介電層10B之材料可為相同或不相同。若不使用蝕刻停止層,則溝槽的深度則透過蝕刻時間以及蝕刻速率的控制來決定。
如第3圖所示,形成阻障層20於第一層間介電層10上方以及第一溝槽15內,其中阻障層20由導電材料組成。阻障層20包含一層或多層之氮化鈦(TiN)、氮化鉭(TaN)及鈦(Ti)。於部分實施例中,阻障層20之厚度範圍約0.5奈米(nm)至約7奈米。阻障層20可由化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD),無電解電鍍(electrode-less plating)及/或電解電鍍(electro-plating)。
如第4圖所示,在形成阻障層20之後,形成金屬 層30。金屬層30之金屬材料為一層或多層之鋁(Al)、銅(Cu)、鈷(Co)、錳(Mn)、鎢(W)、鎳(Ni)、鈦(Ti)、鉭(Ta)、釕(Ru)、銠(Rh)、銥(Ir)、鉬(Mo),或上述之合金、氮化鈦(TiN)、氮化鉭(TaN)、鈦鎢(TiW)、氮化鎢(WN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、矽化鎳(NiSi)及氮化矽鈦(TiSiN)。於一實施例中,金屬層30包含銅以及銅之合金(如:錳銅)或鎳。
接著,如第5圖所示,配置於第一層間介電層10之上表面之阻障層20之上部及金屬層30藉由化學機械研磨製程移除。
於部分實施例中,如第6圖所示,金屬層30之曝露的表面經物理處理及/或化學處理。於特定實施例中,對第一層間介電層10之上表面以及金屬層30之曝露的表面執行電漿處理33。電漿可由氫氣(H2)、氨(NH3)氣,及/或氬(Ar)氣產生。
電漿處理33適用於移除形成在暴露之金屬層30之上表面的氧化銅,使得後續要形成之二維材料,例如石墨烯(graphene),可以較容易地沉積。電漿處理33亦可調整第一層間介電層10之表面,以抑制石墨烯生長在第一層間介電層10之表面。故,可達成選擇性將石墨烯僅沉積在金屬層30(e.g.銅層)上。在電漿處理期間,基板之溫度維持在範圍約攝氏25度(室溫)至約攝氏400度。於部分實施例中,電漿處理之電漿的輸入功率範圍約100瓦至約1000瓦,而電漿處理之壓力範圍約0.1托至約50托。於特定實施例中,電漿處理的持續時間約3 秒至約180秒。
電漿處理33可包含單一步驟製程或多步驟製程,各者均包含氫基(hydrogen-based)氣體、氨基(ammonia-based)氣體,或氬基(argon-based)氣體。於部分實施例中,形成自組裝單分子層(self-assembled monolayer;SAM)於第一層間介電層10之表面上,自組裝單分子層亦可抑制石墨烯層之沉積。自組裝單分子層可由甲矽烷基(silane-based)材料、磷酸鹽基(phosphate-based)材料、胺基(amine-based)材料,及/或巰基(thiol-based)材料。於部分實施例中,自組裝單分子層之厚度範圍約2奈米至7奈米。於部分實施例中,電漿處理33亦可省略。
如第7圖所示,在執行電漿處理33後,選擇性地形成二維材料層40於金屬層30之表面。二維材料一般而言是指厚度為幾奈米或更薄之材料。於部分實施例中,二維材料層40包含石墨烯基材料,例如未摻雜之石墨烯、摻雜之石墨烯,及氧化石墨烯;亦可包含過度金屬硫屬化物(transition metal dichalcogenide;TMD),例如二硫化鉬(MoS2)、二硫化鎢(WS2)、硒化鈮(NbSe2);或為氮化硼(BN)。
於一實施例中,二維材料層40包含石墨烯。石墨烯層可藉由熱化學氣相沉積(thermal CVD)或電漿化學氣相沉積(plasma CVD)形成,並使用一個或多個甲烷(methane)氣體、乙烷(ethane)氣體、丙烷(propane)氣體,或其他烴(hydrocarbon)氣,並伴隨著氫氣。石墨烯層可為單分子層或多層結構。由於下方之金屬(如銅或鎳)作用為催化劑 (catalyst),故石墨烯層可選擇性地形成於金屬層30之表面,如第7圖所示。於部分實施例中,石墨烯層亦形成於阻障層20上。在石墨烯的製程,基板之溫度在部分實施例中維持在範圍約攝氏200度至約攝氏750度,在部分另外實施例中維持在範圍約攝氏250度至約攝氏450度。於部分實施例中,使用濕式製程。
當二維材料為氧化石墨烯時,氧化石墨烯層的形成是使用氧化劑或氧電漿處理一石磨層。當二維材料為過度金屬硫屬化物或氮化硼時,二維材料可由化學氣相沉積、物理氣相沉積,及/或原子層沉積形成,並對二維材料層40執行圖案化操作,使得二維材料層40形成於金屬層30之表面上。類似於石墨烯,銅層作為催化劑層,而過度金屬硫屬化物或氮化硼在銅之表面的生長速度快於其他絕緣材料,故過度金屬硫屬化物或氮化硼可選擇性地形成。
於部分實施例中,二維材料層40之厚度範圍約0.3奈米至約2奈米。
在二維材料層40形成之後,形成蝕刻停止層45(etching-stop layer;ESL)以覆蓋二維材料層40以及第一層間介電層10之表面,如第8圖所示。蝕刻停止層45包含一層或多層之氮化矽基(silicon nitride based)絕緣材料。於一實施例中,用於蝕刻停止層45之氮化矽可由化學氣相沉積形成。於部分實施例中,蝕刻停止層45之厚度範圍約5奈米至15奈米。於部分實施例中,蝕刻停止層45可省略。
接著,形成第二層間介電層50於蝕刻停止層45 上,如第9圖所示。第二層間介電層50之形成方法可相同於第一層間介電層10。
如第9圖所示,沿著X方向的截面圖中,金屬導線圖案WP1之頂部被二維材料層40覆蓋,而金屬導線圖案WP1之至少一邊及底部被阻障層20覆蓋,其中阻障層20由導電材料形成,且不同於二維材料層40之材料。於一實施例中,金屬導線圖案WP1之兩邊及底部被阻障層20覆蓋。
接著,在金屬導線圖案上方形成一上層金屬層。如第10圖所示,於第二層間介電層50以及蝕刻停止層45中形成開口55,以形成金屬導線圖案WP2。
接著,如第11圖所示,由導電材料形成之第二阻障層60形成於第二層間介電層50上方以及開口55內。第二阻障層60的形成方法可類似於阻障層20。於部分實施例中,第二阻障層60之厚度範圍約2奈米至7奈米。
如第12圖所示,在形成第二阻障層60之後,形成第二金屬層35,其中第二阻障層60之上半部以及沉積在第二層間介電層50之表面上的第二金屬層35透過化學機械研磨製程移除。第二金屬層35之形成方法可類似於金屬層30。
此外,使用類似於第6圖及第7圖之操作,形成第二二維材料層70於二金屬層35之上表面上。
如第13圖所示,沿著X方向的截面圖中,金屬導線圖案WP2被二維材料層70覆蓋,且金屬導線圖案WP2之至少一邊及底部被阻障層20或60覆蓋。於一實施例中,二維材料層保留在下方之金屬層30之上表面。
接著,可形成第三層間介電層及第二蝕刻停止層於金屬導線圖案WP2的上方。
第14圖至第17圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。與前述之第1圖至第13圖相似的配置、製程、材料,及/或結構可於本揭露中執行,且細節將不再贅述。應了解額外的操作可在第14圖至第17圖之製程之前、之間或之後執行。在額外的實施例中,下述之部分操作可被取代或移除。各操作/製程之間的順序亦具有可交換性。
在第1圖至第13圖之實施例中,導電材料(如氮化鈦)可用於阻障層。於下述之實施例中,在形成金屬層(如:銅層)之前,先形成作為阻障層之二維材料層。
在溝槽15形成(如第2圖所示)之後,二維材料層25形成於第一層間介電層10的上方以及溝槽15內,如第14圖所示。
於一實施例中,二維材料層25可為石墨烯。石墨烯層可由熱化學氣相沉積或電漿化學氣相沉積形成,並使用一個或多個甲烷氣體、乙烷氣體、丙烷氣體,或其他烴氣,並伴隨著氫氣。石墨烯層可為單分子層或多層結構。在形成石墨烯的操作中,基板溫度經調控,使得石墨烯不藉由催化劑形成,因此石墨烯層可以形成在第一層間介電層10以及溝槽15內,如第14圖所示。
其他二維材料層,如過度金屬硫屬化物或為氮化硼,可作為二維材料層25,並可由化學氣相沉積、物理氣相沉 積,及/或原子層沉積形成。
於部分實施例中,二維材料層25之厚度範圍約0.3奈米至5奈米。
在二維材料層25形成之後,透過執行與第4圖至第7圖相同或相似之操作,二維材料層40形成於金屬層30之表面,如第15圖所示。
如第15圖所示,沿著X方向的截面圖中,金屬導線圖案WP3的頂部被二維材料層40覆蓋,而金屬導線圖案WP3之至少一側及底部被二維材料層25覆蓋。二維材料層25與二維材料層40可相同或不同。於一實施例中,金屬導線圖案WP3的兩側及底部皆被二維材料層25覆蓋。
接著,藉由執行與第8圖至第10圖相同或相似之操作,在第二層間介電層50及蝕刻停止層45內形成開口55。此外,如第16圖所示,形成第二二維材料層65以作為第二阻障層。第二二維材料層65可與二維材料層25及/或40相同或不同。
於一實施例中,第二二維材料層65由石墨烯組成,且第二二維材料層65可選擇性地形成於第二層間介電層50(如絕緣材料層)之表面。藉由調整沉積條件(如溫度),可使金屬表面及介電質表面之石墨烯的沉積速率不同(如在介電層表面的沉積速率快於金屬表面),藉此在第二層間介電層50上選擇性地沉積石墨烯。
於部分實施例中,第二二維材料層65之厚度範圍約0.3奈米至5奈米。
接著,透過執行與第12圖及第13圖相同或相似之操作,形成金屬導線圖案WP4,如第17圖所示。二維材料層70可與二維材料層25、40及/或65相同或不同。
如第17圖所示,沿著X方向的截面圖中,金屬導線圖案WP4的頂部被二維材料層70覆蓋,而金屬導線圖案WP4之至少一側及底部被二維材料層25或65覆蓋。於一實施例中,金屬導線圖案WP4的兩側及底部皆被二維材料覆蓋。
接著,可在導線圖案WP4上方形成第三層間介電層及第二蝕刻停止層。
第18圖至第23圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。與前述之第1圖至第17圖相似的配置、製程、材料,及/或結構可於本揭露中執行,且細節將不再贅述。應了解額外的操作可在第18圖至第23圖之製程之前、之間或之後執行。在額外的實施例中,下述之部分操作可被取代或移除。各操作/製程之間的順序亦具有可交換性。
在溝槽15形成(如第2圖所示)之後,在溝槽15的下半部填補導電材料層80,如第18圖所示。導電材料包含一層或多層之銅(Cu)、鈷(Co)、鎢(W)、鎳(Ni)、鈦(Ti)、鉭(Ta)、釕(Ru)、氮化鈦(TiN)、氮化鉭(TaN)或矽化物。導電材料層可局部地填補溝槽,藉由使用選擇性無電解電鍍方法或選擇性化學氣相沉積等方法。導電材料層80可包含阻障層(未圖示)及導電本體層(body conductive layer)。
當溝槽15為導孔部分以及導線部分時,導孔部分 可由填補導電材料層80形成。當溝槽15為導孔或是導線圖案時,導孔被填補至約溝槽深度的40%至60%。
於特定實施例中,導孔部分藉由鑲嵌製程形成且額外的層間介電層形成於導孔部分上方。接著,溝槽15形成於額外的層間介電層內部以曝露導孔部分的上表面。
接著,類似於第3圖,形成阻障層21,如第19圖所示。
接著,執行與第4圖及第5圖相同或相似之操作,於溝槽15之上部填補金屬層31,如第20圖所示。
接著,執行與第6圖及第7圖相同或相似之操作,於金屬層31之上表面形成二維材料層41,如第21圖所示。
如第21圖所示,導線圖案WP5包含下半部以及上半部,其中下半部由導電材料組成。沿著X方向的截面圖中,上半部的頂部被二維材料層41覆蓋,且上半部之至少一側以及底部被阻障層21覆蓋,其中阻障層21由導電材料組成,且導電材料不同於二維材料層41。於一實施例中,上半部之兩側及底部皆被阻障層21覆蓋。
接著,類似於第8圖至第10圖,於第二層間介電層50及蝕刻停止層45內形成開口57,如第22圖所示。第23圖中,相似於第18圖,於開口的底部填補導電材料層85。相似於第19圖及第20圖,形成第二阻障層61及第二金屬層36。此外,形成第二二維材料層71。
如第23圖所示,導線圖案WP6具有下部導線圖案以及上部導線圖案,分別具有由導電材料所組成之下半部以及 上半部。沿著X方向的截面圖中,上部導線圖案之上半部的頂部被二維材料層71覆蓋,且上半部之至少一側以及底部被阻障層61覆蓋,其中阻障層61由導電材料組成,且導電材料不同於二維材料層71。於一實施例中,導線圖案WP6之上半部之兩側及底部皆被阻障層61覆蓋。二維材料層41與阻障層61可相同或不同。
於部分實施例中,導線圖案WP6之下部導線圖案以及上部導線圖案之各者的結構與導線圖案WP1或WP3相同或相似。
接著,可在導線圖案WP6上方形成第三層間介電層及第二蝕刻停止層。
第24圖至第25圖為本揭露之另一實施例之製造半導體元件之方法在各個階段的截面圖。與前述之第1圖至第23圖相似的配置、製程、材料,及/或結構可於本揭露中執行,且細節將不再贅述。應了解額外的操作可在第24圖至第25圖之製程之前、之間或之後執行。在額外的實施例中,下述之部分操作可被取代或移除。各操作/製程之間的順序亦具有可交換性。
在溝槽15的下半部被填補後(類似於第18圖),共形地(並非選擇性)形成二維材料層26以作為阻障層,如第24圖所示。在此沉積操作中,由於填補在溝槽15內之導電材料並不作為催化劑,故二維材料層26可形成於層間介電層10B以及導電材料層80上方。
接著,類似於第20圖,形成金屬材料層31。類似 於第20圖,於金屬材料層31之表面形成二維材料層41。接著,類似於第22至23圖,於第二層間介電層50及蝕刻停止層45內形成開口。接著,於開口的底部填補導電材料。
類似於第24圖,形成第二二維材料層66以作為第二阻障層,且形成第二金屬層36。此外,形成第二二維材料層71,如第25圖所示。
如第25圖所示,導線圖案WP7包含下半部以及上半部,其中下半部由導電材料組成。沿著X方向的截面圖中,上半部的頂部被二維材料層41覆蓋,且上半部之至少一側以及底部被二維材料層26覆蓋。二維材料層41與二維材料層26可相同或不同。於一實施例中,導線圖案WP7之上半部之兩側及底部皆被二維材料層覆蓋。
此外,如第25圖所示,導線圖案WP8具有下部導線圖案以及上部導線圖案,各者均包含上半部以及下半部,其中下半部由導電材料組成。沿著X方向的截面中,上部導線圖案之上半部的頂部被二維材料層71覆蓋,且上部導線圖案之上半部的至少一側及底部被二維材料層66覆蓋。於一實施例中,上半部之兩側及底部皆被二維材料層66覆蓋。二維材料層71與二維材料層26、41及/或61可相同或不同。
於部分實施例中,導線圖案WP8之上部導線圖案及下部導線圖案之各者具有與導線圖案WP1、WP3或WP5相同或相似之結構。
接著,可在導線圖案WP8上方形成第三層間介電層及第二蝕刻停止層。
本揭露之不同實施例或範例相較於前案提供了諸多優點。例如,於本揭露中,由於二維材料層覆蓋了金屬導線層(如銅層)之至少一表面,故可減少金屬導線層之表面散射(scattering),並降低電阻(如線電阻)。此外,亦可增加金屬導線層內之最大電流密度。
應了解,並非所有的優點皆需在此討論,且並非所有優點皆需存在於每一個實施例或範例當中,其他實施例中亦可提供不同優點。
本揭露之一實施例為一種半導體元件,包含第一層間介電層以及第一金屬導線圖案。第一層間介電層配置於基板上,而第一金屬導線圖案形成於第一層間介電層中,且延伸至與基板平行之第一方向,其中沿著與第一方向交叉且平行於基板之第二方向的截面中,第一金屬導線圖案的頂部被第一二維材料層覆蓋。
本揭露之另一實施例為一種一種半導體元件,包含第一層間介電層、第一金屬導線圖案、第二層間介電層,以及第二金屬導線圖案。第一層間介電層配置於基板上。第一金屬導線圖案形成於第一層間介電層中,且延伸至與基板平行之第一方向。第二層間介電層配置於第一層間介電層以及第一金屬導線圖案上方。第二金屬導線圖案形成於該第二層間介電層中並連接至第一金屬導線圖案。沿著與第一方向交叉且平行於基板之第二方向的截面中,第二金屬導線圖案的頂部被第一二維材料層覆蓋。
本揭露之又一實施例為一種製造半導體元件之方法,包含形成第一層間介電層於基板上。形成第一溝槽於第一層間介電層中。形成金屬導線圖案於第一溝槽中。以及形成二維材料層於金屬導線圖案之頂部。
上文概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭示案的態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭示案作為基礎來設計或者修改其他製程及結構,以實行相同目的及/或實現相同優勢的。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭示案的精神及範疇,以及在不脫離本揭示案的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
10、10A、10B、50‧‧‧層間介電層
12、45‧‧‧蝕刻停止層
20、60‧‧‧阻障層
30、35‧‧‧金屬層
40、70‧‧‧二維材料層
WP1、WP2‧‧‧導線圖案

Claims (10)

  1. 一種半導體元件,包含:一第一層間介電層,配置於一基板上;一第一金屬導線圖案,形成於該第一層間介電層中,且延伸至一第一方向,該第一方向與該基板平行,其中沿著與該第一方向交叉且平行於該基板之一第二方向的截面中,該第一金屬導線圖案的頂部被一第一二維材料層覆蓋;以及一蝕刻停止層,覆蓋並接觸該第一層間介電層與該第一二維材料層。
  2. 如請求項1所述之半導體元件,其中該截面中,該第一金屬導線圖案之側面及底部被該第一二維材料層覆蓋。
  3. 如請求項1所述之半導體元件,其中該截面中,該第一金屬導線圖案之側面及底部被一阻障層覆蓋,其中該阻障層由一導電材料組成,且該導電材料不同於該第一二維材料層之材料。
  4. 如請求項1所述之半導體元件,其中該截面中,該第一金屬導線圖案之側面及底部被一第二二維材料層覆蓋,其中該第二二維材料層由一二維材料組成,且該二維材料不同於該第一二維材料層之材料。
  5. 如請求項1所述之半導體元件,其中該第一 金屬導線圖案包含一導孔部分及一導線部分。
  6. 一種半導體元件,包含:一第一層間介電層,配置於一基板上;以及一第一金屬導線圖案,形成於該第一層間介電層中,且延伸至一第一方向,該第一方向與該基板平行;一第二層間介電層,配置於該第一層間介電層以及該第一金屬導線圖案上方;以及一第二金屬導線圖案,形成於該第二層間介電層中並連接至該第一金屬導線圖案,其中:沿著與該第一方向交叉且平行於該基板之一第二方向的截面中,該第二金屬導線圖案的頂部被一第一二維材料層覆蓋。
  7. 如請求項6所述之半導體元件,其中該第一二維材料層包含石墨烯。
  8. 如請求項6所述之半導體元件,其中該第一二維材料層包含過度金屬硫屬化物。
  9. 如請求項6所述之半導體元件,其中該第一二維材料層包含氮化硼。
  10. 一種用於製造半導體元件的方法,包含:形成一第一層間介電層於一基板上; 形成一第一溝槽於該第一層間介電層中;形成一金屬導線圖案於該第一溝槽中;形成一二維材料層於該金屬導線圖案之一頂部;以及形成一蝕刻停止層以覆蓋並接觸該第一層間介電層與該二維材料層。
TW105131073A 2016-07-26 2016-09-26 半導體元件及其製造方法 TWI611550B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/220,078 2016-07-26
US15/220,078 US10269706B2 (en) 2016-07-26 2016-07-26 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TWI611550B true TWI611550B (zh) 2018-01-11
TW201810592A TW201810592A (zh) 2018-03-16

Family

ID=61010034

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131073A TWI611550B (zh) 2016-07-26 2016-09-26 半導體元件及其製造方法

Country Status (3)

Country Link
US (3) US10269706B2 (zh)
CN (1) CN107658289B (zh)
TW (1) TWI611550B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5851804B2 (ja) * 2011-11-09 2016-02-03 東京エレクトロン株式会社 前処理方法、グラフェンの形成方法及びグラフェン製造装置
JP6960813B2 (ja) 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
JP2021068719A (ja) * 2018-02-20 2021-04-30 ソニーセミコンダクタソリューションズ株式会社 導電構造、導電構造の形成方法及び半導体装置
US10937732B2 (en) * 2018-09-11 2021-03-02 Samsung Electronics Co., Ltd. Semiconductor devices including contacts and conductive line interfaces with contacting sidewalls
US11270936B2 (en) * 2018-10-31 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including supervia and method of making
WO2021067118A1 (en) * 2019-09-30 2021-04-08 Lam Research Corporation Selective graphene deposition using remote plasma
US11189568B2 (en) * 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11682616B2 (en) * 2020-08-31 2023-06-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
KR20220034498A (ko) 2020-09-11 2022-03-18 삼성전자주식회사 반도체 장치
US11538749B2 (en) * 2020-11-13 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US20220415818A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Integrated circuit interconnect structures with graphene cap
US11923293B2 (en) * 2021-07-08 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure on interconnect wire to increase processing window for overlying via
US11955416B2 (en) * 2021-09-15 2024-04-09 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US20230129594A1 (en) * 2021-10-25 2023-04-27 Sandisk Technologies Llc High aspect ratio via fill process employing selective metal deposition and structures formed by the same
US20230197512A1 (en) * 2021-12-22 2023-06-22 Intel Corporation Interconnect line structures with metal chalcogenide cap materials

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140167268A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Graphene and metal interconnects
US20140235049A1 (en) * 2013-02-19 2014-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US20150270225A1 (en) * 2014-03-21 2015-09-24 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US20150303299A1 (en) * 2014-04-16 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3d utb transistor using 2d material channels

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3696055B2 (ja) * 2000-06-27 2005-09-14 シャープ株式会社 半導体装置の製造方法
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
JP4063619B2 (ja) 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6686662B2 (en) * 2002-05-21 2004-02-03 Agere Systems Inc. Semiconductor device barrier layer
US7396759B1 (en) * 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
CN1819179A (zh) * 2005-02-10 2006-08-16 恩益禧电子股份有限公司 半导体器件及其制造方法
US20080258303A1 (en) * 2007-04-23 2008-10-23 Ming-Shih Yeh Novel structure for reducing low-k dielectric damage and improving copper EM performance
JP4317245B2 (ja) * 2007-09-27 2009-08-19 新光電気工業株式会社 電子装置及びその製造方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US8716863B2 (en) * 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US20130221524A1 (en) * 2012-02-29 2013-08-29 Globalfoundries Inc. Integrated circuits with improved interconnect reliability using an insulating monolayer and methods for fabricating same
US9472450B2 (en) * 2012-05-10 2016-10-18 Samsung Electronics Co., Ltd. Graphene cap for copper interconnect structures
US8647978B1 (en) * 2012-07-18 2014-02-11 International Business Machines Corporation Use of graphene to limit copper surface oxidation, diffusion and electromigration in interconnect structures
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
CN102945794B (zh) * 2012-09-07 2015-04-15 清华大学 二维电子材料装置及其混合光刻方法
US20140145332A1 (en) * 2012-11-26 2014-05-29 Globalfoundries Inc. Methods of forming graphene liners and/or cap layers on copper-based conductive structures
US9293412B2 (en) * 2012-12-17 2016-03-22 International Business Machines Corporation Graphene and metal interconnects with reduced contact resistance
US9209136B2 (en) * 2013-04-01 2015-12-08 Intel Corporation Hybrid carbon-metal interconnect structures
KR102332952B1 (ko) * 2013-11-08 2021-12-01 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법
JP2015138901A (ja) * 2014-01-23 2015-07-30 株式会社東芝 半導体装置及びその製造方法
CN104810244B (zh) * 2014-01-26 2018-12-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US10307789B2 (en) * 2015-10-19 2019-06-04 International Business Machines Corporation Structure comprising a 2-dimensional material

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140167268A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Graphene and metal interconnects
US20140235049A1 (en) * 2013-02-19 2014-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US20150270225A1 (en) * 2014-03-21 2015-09-24 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US20150303299A1 (en) * 2014-04-16 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3d utb transistor using 2d material channels

Also Published As

Publication number Publication date
US20180350741A1 (en) 2018-12-06
CN107658289B (zh) 2021-11-02
US10763211B2 (en) 2020-09-01
US11296026B2 (en) 2022-04-05
US10269706B2 (en) 2019-04-23
US20200395299A1 (en) 2020-12-17
CN107658289A (zh) 2018-02-02
US20180033727A1 (en) 2018-02-01
TW201810592A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
TWI611550B (zh) 半導體元件及其製造方法
TWI585929B (zh) 積體電路晶片及後段製程金屬化層之製造方法
US9960117B2 (en) Air gap semiconductor structure with selective cap bilayer
JP5826783B2 (ja) 半導体装置
US8039966B2 (en) Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
JP4658857B2 (ja) 積層構造を備えた集積回路構造およびその製造方法
CN104733378B (zh) 半导体结构及其制造方法
JP5379848B2 (ja) 導電性コンタクトの組み込みのための構造体及びプロセス
US20080128907A1 (en) Semiconductor structure with liner
US20120252206A1 (en) Process for damascene structure with reduced low-k damage
US9899258B1 (en) Metal liner overhang reduction and manufacturing method thereof
US9966339B2 (en) Barrier structure for copper interconnect
JP2013239728A (ja) 半導体装置及びその製造方法
JP2009141058A (ja) 半導体装置およびその製造方法
TWI739167B (zh) 半導體結構的形成方法及半導體結構
US20180151420A1 (en) Interconnect structure
US9484206B2 (en) Semiconductor device including catalyst layer and graphene layer thereon and method for manufacturing the same
US9406617B1 (en) Structure and process for W contacts
US20230378255A1 (en) Semiconductor structure having air gaps and method for manufacturing the same
TWI685917B (zh) 具有整合之電熔絲的半導體裝置及其製造方法
JP5917603B2 (ja) 半導体装置およびその製造方法
US9484252B2 (en) Integrated circuits including selectively deposited metal capping layers on copper lines and methods for fabricating the same
US20100120243A1 (en) Formation of a reliable diffusion-barrier cap on a cu-containing interconnect element having grains with different crystal orientations