TWI585929B - 積體電路晶片及後段製程金屬化層之製造方法 - Google Patents

積體電路晶片及後段製程金屬化層之製造方法 Download PDF

Info

Publication number
TWI585929B
TWI585929B TW103136580A TW103136580A TWI585929B TW I585929 B TWI585929 B TW I585929B TW 103136580 A TW103136580 A TW 103136580A TW 103136580 A TW103136580 A TW 103136580A TW I585929 B TWI585929 B TW I585929B
Authority
TW
Taiwan
Prior art keywords
metal
conductive material
layer
integrated circuit
inner connecting
Prior art date
Application number
TW103136580A
Other languages
English (en)
Other versions
TW201603230A (zh
Inventor
劉相瑋
楊岱宜
莊正吉
林天祿
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201603230A publication Critical patent/TW201603230A/zh
Application granted granted Critical
Publication of TWI585929B publication Critical patent/TWI585929B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

積體電路晶片及後段製程金屬化層之製造方法
本發明係有關於一種半導體技術,特別為有關於一種積體電路晶片及後段製程金屬化層之製造方法。
現代的積體電路晶片(integrated chips,ICs)常包含設置於一半導體基底內其數十億計的電晶體裝置。後段製程(Back-end-of-the-line,BEOL)金屬化層被用於電晶體裝置彼此的連接以及其與外部的連接。後段製程金屬化層是堆疊狀膜層,包含狹窄導電金屬線及導電介層窗(vias),其設置於半導體基底上的介電材料中。金屬線提供橫向的連接而導電介層窗則提供金屬線間垂直之連接。通常堆疊狀後段製程金屬化層的尺寸隨金屬化層膜距離半導體基底越遠而增加,使密集的小金屬線置位於電晶體裝置附近,而較大的金屬線則位於堆疊膜層之頂端。
本發明一實施例提供一種積體電路晶片,包括:複數第一金屬內連接結構,設置於一第一後段製程金屬化層內,且包括一第一導電材料;以及複數第二金屬內連接結構,設置於第一後段製程金屬化層內且與第一金屬內連接結構橫 向隔開,其中第二金屬內連接結構包括一第二導電材料,其不同於第一導電材料。
本發明另一實施例提供一種積體電路晶片,包 括:複數第一金屬內連接結構,設置於一第一金屬線層中且包括一第一金屬;複數第二金屬內連接結構,設置於第一金屬線層中且以一內層介電層與上述第一金屬內連接結構橫向隔開,其中第二金屬內連接結構包括與第一金屬不同的第二金屬;以及其中第一金屬內連接結構具有第一寬度且第二金屬內連接結構具有大於第一寬度之第二寬度。
本發明提供一形成後段製程金屬化層之製造方 法,包括:形成複數第一金屬內連接結構,其位於一半導體基材上的第一後段製程金屬化層中,且包含第一導電材料;以及形成複數第二金屬內連接結構,其位於第一後段製程金屬化層中且與上述第一金屬內連接結構橫向隔開且包括一第二導電材料,其中第二導電材料不同於第一導電材料。
100、200、400、412、500、600、700、800、900、1000‧‧‧積 體電路晶片
102‧‧‧半導體基底
104‧‧‧金屬介層窗層
106、204‧‧‧金屬線層
108、402‧‧‧內層介電層
110、110a‧‧‧介層窗
112、112a‧‧‧第一金屬內連接結構
114、114a‧‧‧第二金屬內連接結構
116‧‧‧蝕刻終止層
104a‧‧‧第一介層窗層
106a‧‧‧第一金屬線層
106b‧‧‧第二金屬線層
108a‧‧‧第一內層介電層
108b‧‧‧第二內層介電層
108c‧‧‧第三內層介電層
116a‧‧‧第一蝕刻終止層
116b‧‧‧第二蝕刻終止層
116c‧‧‧第三蝕刻終止層
206a‧‧‧第一襯層
208a‧‧‧第二襯層
300‧‧‧方法
302、304、306、308、310、312、314、316、318‧‧‧步驟
404‧‧‧第一蝕刻液
406‧‧‧第一罩幕層
408、410‧‧‧狹窄金屬開口
502‧‧‧第一導電材料
602‧‧‧第二罩幕層
604、704‧‧‧寬金屬開口
702‧‧‧第二蝕刻液
802‧‧‧第二導電材料
902‧‧‧線
w1‧‧‧第一寬度
w2‧‧‧第二寬度
第1圖係繪示出一些實施例之具有後段製程金屬化層的一積體電路晶片之剖面示意圖,其包括橫向隔開且由不同導電材料構成之金屬內連接結構。
第2圖系繪示出另一實施例之具有後段製程金屬化層的一積體電路晶片之剖面示意圖,其包括橫向隔開且由不同導電材料構成之金屬內連接結構。
第3圖係繪示出一些實施例之一形成後段製程金屬化層的 實施方法之流程圖,其後段製程金屬化層包括橫向隔開且由不同導電材料構成之金屬內連接結構。
第4A-4B、5-10圖係繪示出其他實施例之一形成後段製程金屬化層方法之剖面示意圖,其後段製程金屬化層包括橫向隔開且由不同導電材料構成之金屬內連接結構。
本說明書的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
另外,在空間上的相關用語,例如”下”、”下方”、”底部”、”上方”、”向上”、”頂部”等等及其派生詞係用以容易表達出本說明書中的部件或特徵部件與其他部件或特徵部件的關係。這些空間上的相關用語涵蓋了具有特些特徵部件的裝置的不同方位。
在過去15-20年間,後段製程(BEOL)金屬化層中銅成為被廣泛使用的材料。銅具有相當高的電導性,其在後段製程中提供相對低的電阻,以及提供積體電路晶片不錯的效能。銅金屬化層(例如,金屬線及介層窗),經常使用鑲嵌製程形成。在鑲嵌製程中,銅用於沉積於圖案化之介電材料中。舉例而言,在一個雙鑲嵌製程中,一介電材料沉積於一半導體基底上。此介電材料受到選擇性蝕刻而形成做為介層窗(即,介層洞)以及金屬線(即,金屬溝槽)的開口。而後,用銅將其開口填滿,使用平坦化製程自基底去除多餘的銅。
隨著積體電路晶片部件尺寸的微縮化,薄金屬化層(即,電晶體之間作為局部佈線(local routing)之金屬化層的尺寸也降低。可了解的是在新興科技世代(例如,科技世代可使介層洞及/或金屬溝槽之最小尺寸低於40奈米),隨著在鑲嵌製程中將銅填充於介層洞及/或金屬溝槽的困難度提升,填洞(gap-fill)問題開始浮現。舉例來說,使用銅鑲嵌電鍍製程將最小尺寸為30奈米之開口填滿是困難的,如此會導致最後金屬線以及介層窗中孔洞的形成(例如,無銅空洞(cavities devoid of copper))。孔洞會導致後段製程金屬化層的電性斷路,因此有害於積體電路晶片的可靠度。
因此,本揭露係關於形成一後段製程金屬化層的方法,其藉由使用不同的導電物質(例如:金屬)填充位於內層介電層(inter-level dielectric,ILD)中不同尺寸的開口,以及藉由相關的設備來減輕填洞問題。在一些實施例裡,本揭露係關於一積體電路晶片,其包括第一金屬內連接結構,其設置於 一第一後段製程金屬化層內,且包括一第一導電材料。此積體電路晶片更包括第二金屬內連接結構,其設置於第一後段製程金屬化層中且與上述第一金屬內連接結構橫向隔開。第二金屬內連接結構包括第二導電材料,其不同於第一導電材料。利用不同導電材料形成不同金屬內連接結構於同一後段製程金屬化層中,減輕了在狹窄的後段製程金屬內連接結構產生的填洞問題,因此改善了積體電路晶片的可靠度。
第1圖係繪示出一些實施例之具有後段製程(BEOL) 金屬化層的一積體電路晶片100的剖面示意圖,後段製程(BEOL)金屬化層包括橫向隔開且由不同導電材料構成之金屬內連接結構。
積體電路晶片100包括後段製程金屬化層,設置於 一半導體基底102上的一內層介電層(ILD)108。在不同實施例中,半導體基底102可包括任何類型之半導體本體(例如,矽、矽鍺(SiGe)、絕緣層上覆矽(SOI)等等),例如半導體晶圓或者位於晶圓上一或多個晶粒,也可以是任何其他類型半導體及/或磊晶層形成於其上,及/或其他與之相關物。在一些實施例中,內層介電層108可包括一或多個介電材料,例如一低介電常數(low-k)介電材料或一超低介電常數(ultra-low-k,ULK)介電材料。在一些實施例中,一蝕刻終止層(etch stop layer,ESL)116可設置於內層介電層108與半導體基底102之間。在不同實施例中,蝕刻終止層116可包括氮化物、碳化矽、碳摻雜氧化物(carbon-doped oxide)、或是其他類似物。
後段製程金屬化層包括一金屬介層窗層104以及 位於其上金屬線層106。金屬介層窗層層104包括位於內層介電層108中的介層窗110,其用以提供垂直內連接(例如,垂直半導體基底102之上表面之方向的內連接)。金屬線層106則用以提供橫向的內連接(例如,平行於半導體基底102之上表面之方向的內連接)。
金屬線層106包括第一金屬內連接結構112及第二 金屬內連接結構114。內層介電層108將第一金屬內連接結構112與第二金屬內連接結構114橫向隔開。第一金屬內連接結構112有較第二金屬內連接結構114小之線寬(即,最小尺寸)。舉例來說,第一金屬內連接結構112有第一寬度w 1 而第二金屬內連接結構114有較第一寬度w 1 大的第二寬度w 2 。在一些實施例中,第一寬度w 1 的數值在約3nm到約30nm之間。而第二寬度w 2 的數值則大於30nm。
第一金屬內連接結構112包括第一導電材料,而第 二金屬內連接結構114包括第二導電材料,其不同於第一導電材料。第一導電材料包括具有填充狹窄孔洞(例如,內層介電層108中的狹窄開口)能力之材料,而此孔洞無法輕易填入第二導電材料。利用第一導電材料形成有相對小寬度的金屬內連接結構及利用第二導電材料形成有相對大寬度的金屬內連接結構,可以減輕在後段製程金屬化層的填洞問題而不危害金屬線層106之性能(例如,電阻率)。舉例而言。在一些實施例中,第一導電材料可包含鈷或鎢,而第二導電材料可包含銅。當使用氣相沉積技術(例如,化學氣相沉積、原子層沉積等),鈷以及鎢對於較小寬度/最小尺寸(例如,小於或等於30nm)的開口提 供優於銅的填洞能力。在一些實施例中,為了防止在金屬介層窗層104的填洞問題,介層窗110亦可包括第一導電材料。
在一些實施例中,一或多個介層窗110可垂直延伸 至與第二金屬內連接結構114重疊的位置,使與第二金屬內連接結構114橫向對準的一或多個介層窗110延伸至第二金屬內連接結構114之位置。換句話說,一或多個介層窗110橫向對準並在三面抵接第二金屬內連接結構114。
雖然積體電路晶片100以包含有不同導電材料並 橫向隔開的金屬內連接結構之一後段製程金屬線層106進行圖式說明,可了解的是本揭露並不限於金屬線層包括不同的導電材料。在其他的實施例中,橫向隔開的金屬介層窗亦可選擇不同的導電材料。
第2圖係繪示一些實施例之具有後段製程金屬化 層之積體電路晶片200的剖面示意圖,後段製程金屬化層包括橫向隔開且由不同導電材料構成之金屬內連接結構。
積體電路晶片200包括疊置後段製程金屬化層 104a-204,其設置於一半導體基底102上之內層介電層108a-108c中。在一些實施例中,蝕刻終止層116a-116c設置於內層介電層108a-108c之間。舉例來說,第一蝕刻終止層116a設置於第一內層介電層108a與第二內層介電層108b之間,第二蝕刻終止層116b設置於第二內層介電層108b與第三內層介電層108c之間。
第一介層窗層104a與位於其上之第一金屬線層106a設置於第一內層介電層108a中。第一介層窗層104a包括介 層窗110a。第一金屬線層106a包括由第一導電材料構成之第一金屬內連接結構112a,以及由第二導電材料其不同於第一導電材料構成之第二金屬內連接結構114a。在一些實施例中,第一襯層206a將第一金屬內連接結構112a與第一內層介電層108a隔開,而第二襯層208a將第二金屬內連接結構114a與第一內層介電層108a隔開。在一些實施例中,第一襯層206a和第二襯層208a可包括不同材料。舉例來說,在一些實施例中,第一襯層206a可包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)或氮化鉭(TaN),而第二襯層可包括氮化鉭(TaN)、鉭(Ta)、鈷(Co)、釕(Ru)或氮化鈦(TiN)。
在一些實施例中,疊置後段製程(BEOL)金屬化層 104a-204可有不同的最小寬度(例如,最小尺寸)。舉例來說,金屬線層106a和106b可具有特徵部件(feature),具有特徵部件第一最小寬度(例如,小於或等於約30-40nm),而金屬線層204可具有特徵部件,特徵部件具有大於第一最小寬度之第二最小寬度(例如,大於或等於約40-50nm)。在一些實施例中,金屬線層204之最小寬度已足夠大,因此在金屬線層204中便不存在填洞問題。在上述實施例中,金屬線層106a及106b可包括橫向隔開的金屬線,其包括第一及第二導電材料,而金屬線層204可包括橫向隔開的金屬線,其包括第一導電材料及非第二導電材料。
第3圖係繪示一些實施例之形成後段製程(BEOL) 金屬化層的方法300之流程圖,其中後段製程金屬化層包括橫向隔開且由不同導電材料構成之金屬內連接結構。
雖然此處揭露的方法300係以一系列的行為或事 件作為說明,但可了解的是上述說明的步驟或事件之次序並非侷限於此。舉例來說,與此處揭露所繪示及/或描述的不同,一些步驟可發生於不同之次序及/或與其他步驟或事件同時發生。此外,並非所有繪示之步驟需要執行一或多個方面或執行實施例中之描述。再者,在此描述之一或更多的步驟可在一或更多個別的步驟或階段中執行。
在步驟302中,在半導體基材上之後段製程金屬化 層中形成第一金屬內連接結構,其包括第一導電材料。在一些實施例中,可根據一第一罩幕層選擇性覆蓋一內層介電層以製作第一金屬內連接結構,其中第一罩幕層係用以定義狹窄金屬開口,如步驟304。在步驟306,對內層介電層進行選擇性蝕刻而於其中形成狹窄金屬開口。在步驟308中,第一導電材料填入狹窄金屬開口而形成第一金屬內連接結構。
在步驟310中,形成於後段製程金屬化層中的第二金屬內連接結構包括第二導電材料,其不同於第一導電材料。在一些實施例中,透過選擇性遮蔽內層介電層以定義寬金屬開口而形成第二金屬內連接結構,如步驟312。在步驟314中,對內層介電層進行選擇性蝕刻形成而寬金屬開口。在步驟316中,第二導電材料填入寬金屬開口而形成第二金屬內連接結構。
在步驟318中,在一些實施例中,進行平坦化製程以自基底去除多餘之第一導電材料與第二導電材料。
可了解的是方法300可根據不同的後段製程(BEOL) 製造技術來進行。舉例來說,在一些實施例中,可依鑲嵌製程來進行方法300。在上述實施例中,第一及第二金屬內連接結構可為一雙鑲嵌製程之金屬層或一單鑲嵌製程之金屬層。在其他實施例中,可依非鑲嵌製程來進行方法300。
第4A至10圖係繪示出一些實施例之剖面示意圖, 顯示由方法300形成一後段製程金屬化層,其包括橫向隔開且由不同材料構成的金屬內連接結構。雖然第4A至10圖係以方法300來進行說明,可了解的是第4A至10圖中所揭露的結構並不侷限於此方法,也可以是和方法300無關的獨立結構。
第4A至4B圖係繪示出一些實施例之剖面示意圖400及412,分別對應於步驟304-306。
第4A圖係繪示出一些實施例之以雙鑲嵌製程實施步驟304-306之剖面示意圖。如剖面示意圖400所示,一內層介電層402形成於半導體基底102上。在一些實施例中,內層介電層402可包括由熱製程或沉積製程形成之低介電常數(low-k)介電材料或超低介電常數(ultra-low-k,ULK)介電材料。在一些實施例中,蝕刻終止層(ETL)116可形成於內層介電層402與半導體基底102之間。在不同實施例中,蝕刻終止層116可包括氮化物、碳化矽、碳摻雜氧化物(carbon-doped oxide)或是其他類似物。
內層介電層402暴露於第一蝕刻液404中,透過第一罩幕層406進行選擇性蝕刻第一內層介電層402而形成狹窄金屬開口408及410。在一些實施例中,第一罩幕層406可包括一光阻層。狹窄金屬開口408及410具有第一寬度w 1 。在一些實 施例中,第一寬度w 1 之數值可低於或等於約30nm。在一些實施例中,第一蝕刻液404可包括電漿蝕刻進行化學蝕刻,其可包括氟(F)、四氟化碳(CF4)、臭氧(O3)或八氟環丁烷(C4F8)之其中一或多者。在一些實施例中,狹窄金屬開口408及410可包括一狹窄金屬溝槽開口408,延伸至通過金屬線層106及/或狹窄金屬溝槽及介層窗開口410延伸通過金屬介層窗層104及金屬線層106。
第4B圖係繪示一些實施例之以單鑲嵌製程實施步 驟304-306之剖面示意圖。如剖面示意圖400所示,狹窄金屬開口408及410可包括金屬溝槽開口設置於介層窗110上。
第5圖係繪示一些實施例之對應步驟308之剖面示意圖500。
如剖面示意圖500所示,進行第一導電材料502沉積而填充狹窄金屬開口408及410。在一些實施例中,第一導電材料502可延伸出狹窄金屬開口408及410之外而形成連續層,其延伸於內層介電層402之上表面。第一導電材料502包括具有填充狹窄金屬開口408及410而不形成孔隙的能力的材料。在一些實施例中,第一導電材料502可包括沉積之鎢(W)或鈷(Co),其利用沉積技術(如,化學氣相沉積(CVD)或原子層沉積(ALD))而形成。
舉例來說,在一些實施例中,第一導電材料502可包括在處理腔室中以約100℃到300℃之溫度範圍及約10到50毫米汞柱(torr)之壓力下進行化學氣相沉積製程而沉積之鈷。在此實施例下,化學氣相沉積製程使用製程氣體如二羰基戊二 烯基鈷(C5H5(CO)2Co)及氫氣(H2)。在其他實施例中,第一導電材料502可包括在處理腔室中以約100℃到300℃之溫度範圍及約0.1到10毫米汞柱(torr)之壓力下進行原子層沉積而沉積之鈷。在此實施例中,原子層沉積製程使用製程氣體如二羰基戊二烯基鈷(C5H5(CO)2Co)、氫氣(H2)、氮氣(N2)以及氨(NH3)。
在一些實施例中,第一導電材料502可包括在處理 腔室中以約300℃到500℃之溫度範圍及約1到10毫米汞柱之壓力下進行化學氣相沉積製程而沉積之鎢。在此實施例下,化學氣相沉積製程使用製程氣體如六氟化鎢(WF6)及氫氣(H2)。在其他實施例中,第一導電材料502可包括在處理腔室中以約200℃到400℃之溫度範圍及約1到10毫米汞柱之壓力下進行原子層沉積而形成之鎢。在此實施例中,原子層沉積製程使用製程氣體如六氟化鎢(WF6)以及乙硼烷(B2H6)。
第6圖係繪示一些實施例之剖面示意圖600,其對應於步驟312。
如剖面示意圖600所示,第二罩幕層602選擇性形成於第一導電材料502上。利用第二罩幕層602定義內層介電層402中的寬金屬開口604。在一些實施例中,第二罩幕層602可包括一光阻層。在一些實施例中,光阻層用來選擇性蝕刻第一導電材料502而使第一導電材料502可用來當作內層介電層402上的硬式罩幕。
第7圖係繪示一些實施例之剖面示意圖700,其對應於步驟314。
如剖面示意圖700所示,內層介電層402暴露於第 二蝕刻液702中,其用以進行選擇性蝕刻內層介電層402而形成寬金屬開口704。在一些實施例中,第二蝕刻液702可包括電漿蝕刻進行化學蝕刻,其可包括氟(F)、四氟化碳(CF4)、臭氧(O3)或八氟環丁烷(C4F8)之其中一或多者。在一些實施例中,寬金屬開口704可具有較第一寬度w 1 大之第二寬度w 2 。在一些實施例中在,第二寬度w 2 之數值可高於或等於約30nm。
在一些實施例中,第二蝕刻液702用來過蝕刻內層 介電層402以使寬金屬開口704可延伸於內層介電層402內且低於一或多個介層窗110之上表面的垂直位置。在此實施例中,一或多個介層窗110從寬金屬開口704之底部向外延伸作為陽刻(positive relief)。利用從寬金屬開口704之底部向外延伸之介層窗110,在寬金屬開口704內的介層窗110及其上設置之金屬層之間產生良好的電性連接。
第8圖係繪示一些實施例之剖面示意圖800,其對 應於步驟316。
如剖面示意圖800所示,沉積第二導電材料802以 填充寬金屬開口704。第二導電材料802不同於第一導電材料502,使不同之導電材料(502及802)彼此之間橫向隔開。在一些實施例中,第二導電材料802可包括銅。在一些實施例中,第二導電材料802可用電鍍製程(例如,電解電鍍製程或無電解電鍍製程)來沉積。在一些實施例中,第二導電材料802可利用氣相沉積技術(例如,化學氣相沉積、物理氣相沉積、原子層沉積等)來沉積。
第9圖係繪示一些實施例之剖面示意圖900,其對 應於步驟318。
如剖面示意圖900所示,沿著線902使用平坦化製 程自基底去除多餘的第一及第二導電材料502及802而形成一平坦表面。在一些實施例中,平坦化製程可包括化學機械研磨(CMP)製程。
可了解的是方法300可反覆施行而在半導體基底 102上形成堆疊的後段製程金屬化層。第10圖係繪示一些實施例之包括後段製程堆疊的積體電路晶片之剖面示意圖,其中包括根據方法300形成之後段製程金屬化層。如剖面示意圖1000所示,積體電路晶片具有第一金屬線層106a,其包括橫向隔開且由不同導電材料構成之金屬內連接結構,以及第二金屬線層106b,其包括橫向隔開且由不同導電材料構成之金屬內連接結構。
因此,本揭露係有關於形成後段製程金屬化層之 方法,此方法是利用不同的導電材料(例如,金屬)填充內層介電層中不同尺寸的開口,以及藉由相關的設備,進而減輕填洞問題。
在一些實施例中,本說明書揭示一積體電路晶 片。積體電路晶片包括:複數第一金屬內連接結構,設置於一第一後段製程金屬化層內,且包括一第一導電材料;以及複數第二金屬內連接結構,設置於第一後段製程金屬化層內且與第一金屬內連接結構橫向隔開,其中第二金屬內連接結構包括一第二導電材料,其不同於第一導電材料。
在其他實施例中,本說明書也提供另一種積體電 路晶片。積體電路晶片包括:複數第一金屬內連接結構,設置於一第一金屬線層中且包括一第一金屬;複數第二金屬內連接結構,設置於第一金屬線層中且以一內層介電層與第一金屬內連接結構橫向隔開,其中第二金屬內連接結構包括與第一金屬不同的第二金屬;以及其中第一金屬內連接結構具有第一寬度且第二金屬內連接結構具有大於第一寬度之第二寬度。
在其他實施例中,本說明書也提供一形成後段製 程金屬化層之製造方法。該方法包括形成複數第一金屬內連接結構,其位於一半導體基材上的第一後段製程金屬化層中,且包含第一導電材料;以及形成複數第二金屬內連接結構,其位於第一後段製程金屬化層中且與上述第一金屬內連接結構橫向隔開且包括一第二導電材料,其中第二導電材料不同於第一導電材料。
以上概略說明了本發明數個實施例的特徵,使所 屬技術領域中具有通常知識者對於後續本發明的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本發明之精神和保護範圍內,且可在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。
100‧‧‧積體電路晶片
102‧‧‧半導體基底
104‧‧‧金屬介層窗層
106‧‧‧金屬線層
108‧‧‧內層介電層
110‧‧‧介層窗
112‧‧‧第一金屬內連接結構
114‧‧‧第二金屬內連接結構
116‧‧‧蝕刻終止層
w1‧‧‧第一寬度
w2‧‧‧第二寬度

Claims (10)

  1. 一種積體電路晶片,包括:複數第一金屬內連接結構,設置於一第一後段製程金屬化層內,且包括一第一導電材料;以及複數第二金屬內連接結構,設置於該第一後段製程金屬化層內且與該等第一金屬內連接結構橫向隔開,其中該等第二金屬內連接結構包括該第一導電材料及一第二導電材料,其中該第二導電材圍繞該第一導電材料,而被圍繞的該第一導電材料自該第二導電材料的一下表面向外突出。
  2. 如申請專利範圍第1項所述之積體電路晶片,其中該等第一金屬內連接結構具有一第一寬度且該等第二金屬內連接結構具有大於該第一寬度之一第二寬度,且該第一導電材料包括鈷或鎢,以及其中該第二導電材料包括銅。
  3. 如申請專利範圍第1項所述之積體電路晶片,其中該第一後段製程金屬化層,包括:一金屬線層,其包括複數金屬線用以提供橫向的內連接;以及一介層窗層,包括複數介層窗抵接該等第二金屬內連接結構,其中該第一導電材料自該等介層窗持續延伸而套入該等第二金屬內連接結構的該第二導電材料內的位置,且該等介層窗具有側壁及上表面抵接該第二導電材料。
  4. 如申請專利範圍第1項所述之積體電路晶片,其中一內層介電層將該等第一金屬內連接結構與該等第二金屬內連接結構橫向隔開,且一第一襯層設置於該等第一金屬內連接 結構與該內層介電層之間,以及一第二襯層設置於該等第二金屬內連接結構與該內層介電層之間,其中該第一襯層與該第二襯層包括不同材料。
  5. 如申請專利範圍第1項所述之積體電路晶片,更包括:一金屬線層位於該介層窗層之上,且包括複數金屬線,其包括該第二導電材料。
  6. 一種積體電路晶片,包括:複數第一金屬內連接結構,設置於一第一金屬線層中且包括一第一金屬;以及複數第二金屬內連接結構,設置於該第一金屬線層中且以一內層介電層與該等第一金屬內連接結構橫向隔開,其中該等第二金屬內連接結構包括該第一金屬及與該第一金屬不同的一第二金屬;其中該等第二金屬內連接結構內的該第二金屬橫向隔開該第一金屬與該等第二金屬內連接結構的側壁。
  7. 如申請專利範圍第6項所述之積體電路晶片,其中該第一金屬包括鈷或鎢,以及其中該第二金屬包括銅。
  8. 如申請專利範圍第6項所述之積體電路晶片,更包括:一金屬線層位於該介層窗層之上,且包括複數金屬線,其包括該第二金屬。
  9. 一種後段製程金屬化層之製造方法,包括:形成複數第一金屬內連接結構,其位於一半導體基材上的一第一後段製程金屬化層中且包括一第一導電材料;以及形成複數第二金屬內連接結構,其位於該第一後段製程金 屬化層中且與該等第一金屬內連接結構橫向隔開且包括該第一導電材料及一第二導電材料,其中該第二導電材料不同於該等第一導電材料,且其中該第二導電材圍繞該第一導電材料,而被圍繞的該第一導電材料自該第二導電材料的一下表面向外突出。
  10. 如申請專利範圍第9項所述之後段製程金屬化層之製造方法,更包括:選擇性蝕刻位於該半導體基材上之一內層介電層,以形成複數狹窄金屬開口;在該等狹窄金屬開口中沉積該第一導電材料,以形成該等第一金屬內連接結構;選擇性蝕刻該內層介電層,以形成複數寬金屬開口;在該等寬金屬開口中沉積該第二導電材料,以形成該等第二金屬內連接結構;以及進行平坦化製程以去除多餘之該第一導電材料與該第二導電材料。
TW103136580A 2014-07-02 2014-10-23 積體電路晶片及後段製程金屬化層之製造方法 TWI585929B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/321,890 US9837354B2 (en) 2014-07-02 2014-07-02 Hybrid copper structure for advance interconnect usage

Publications (2)

Publication Number Publication Date
TW201603230A TW201603230A (zh) 2016-01-16
TWI585929B true TWI585929B (zh) 2017-06-01

Family

ID=54866079

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103136580A TWI585929B (zh) 2014-07-02 2014-10-23 積體電路晶片及後段製程金屬化層之製造方法

Country Status (5)

Country Link
US (3) US9837354B2 (zh)
KR (1) KR101696973B1 (zh)
CN (1) CN105321927B (zh)
DE (1) DE102014110645B4 (zh)
TW (1) TWI585929B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
CN105609431B (zh) * 2014-10-28 2018-07-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9761526B2 (en) * 2016-02-03 2017-09-12 Globalfoundries Inc. Interconnect structure having tungsten contact copper wiring
US10211093B2 (en) * 2016-07-08 2019-02-19 Samsung Electronics Co., Ltd. Interconnect structure formed with a high aspect ratio single damascene copper line on a non-damascene via
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
US10026647B2 (en) 2016-12-12 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-align patterning
EP3559983B1 (en) * 2016-12-23 2022-04-13 INTEL Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
US10784198B2 (en) * 2017-03-20 2020-09-22 Samsung Electronics Co., Ltd. Power rail for standard cell block
US10580691B2 (en) 2017-06-06 2020-03-03 Tokyo Electron Limited Method of integrated circuit fabrication with dual metal power rail
US11881520B2 (en) 2017-11-30 2024-01-23 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
TW202401727A (zh) * 2017-11-30 2024-01-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
TWI732115B (zh) * 2018-04-16 2021-07-01 聯華電子股份有限公司 半導體製造方法
US11302773B2 (en) 2018-10-09 2022-04-12 Qualcomm Incorporated Back-end-of-line integrated metal-insulator-metal capacitor
US10991619B2 (en) 2019-04-18 2021-04-27 International Business Machines Corporation Top via process accounting for misalignment by increasing reliability
US11107727B2 (en) 2019-05-10 2021-08-31 International Business Machines Corporation Double metal double patterning with vias extending into dielectric
US11355442B2 (en) 2019-05-10 2022-06-07 International Business Machines Corporation Forming self-aligned multi-metal interconnects
US11152257B2 (en) 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11404366B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
US11450608B2 (en) 2020-08-07 2022-09-20 Samsung Electronics Co., Ltd. Integrated circuit devices including metal wires having etch stop layers on sidewalls thereof
KR20220091660A (ko) 2020-12-23 2022-07-01 삼성전자주식회사 반도체 소자
US11848264B2 (en) 2021-06-03 2023-12-19 International Business Machines Corporation Semiconductor structure with stacked vias having dome-shaped tips
US20230009072A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect conductive structure comprising two conductive materials
US11842961B2 (en) 2021-08-26 2023-12-12 International Business Machines Corporation Advanced metal interconnects with a replacement metal
US20240153816A1 (en) * 2022-11-04 2024-05-09 Applied Materials, Inc. Methods to form metal liners for interconnects

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063995A (ja) * 2002-07-31 2004-02-26 Matsushita Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
US20090238958A1 (en) * 2008-03-20 2009-09-24 Nishant Sinha Methods of Forming Electrically Conductive Structures
US20110034026A1 (en) * 2009-08-06 2011-02-10 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6426249B1 (en) 2000-03-16 2002-07-30 International Business Machines Corporation Buried metal dual damascene plate capacitor
US6548901B1 (en) * 2000-06-15 2003-04-15 International Business Machines Corporation Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US6759332B2 (en) 2001-01-31 2004-07-06 International Business Machines Corporation Method for producing dual damascene interconnections and structure produced thereby
JP2002368081A (ja) 2001-06-06 2002-12-20 Sony Corp 半導体装置の製造方法
US7514354B2 (en) * 2005-12-30 2009-04-07 Samsung Electronics Co., Ltd Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US7488682B2 (en) 2006-10-03 2009-02-10 International Business Machines Corporation High-density 3-dimensional resistors
US8765600B2 (en) * 2010-10-28 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for reducing gate resistance and method of making the same
US8525339B2 (en) * 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9171801B2 (en) * 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US9633962B2 (en) * 2013-10-08 2017-04-25 Globalfoundries Inc. Plug via formation with grid features in the passivation layer
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9425150B2 (en) * 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9397045B2 (en) * 2014-10-16 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of damascene structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063995A (ja) * 2002-07-31 2004-02-26 Matsushita Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
US20090238958A1 (en) * 2008-03-20 2009-09-24 Nishant Sinha Methods of Forming Electrically Conductive Structures
US20110034026A1 (en) * 2009-08-06 2011-02-10 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US10290580B2 (en) 2019-05-14
KR20160004179A (ko) 2016-01-12
KR101696973B1 (ko) 2017-01-16
CN105321927A (zh) 2016-02-10
US10818597B2 (en) 2020-10-27
DE102014110645B4 (de) 2020-01-02
US9837354B2 (en) 2017-12-05
US20180090439A1 (en) 2018-03-29
US20190244897A1 (en) 2019-08-08
DE102014110645A1 (de) 2016-01-07
CN105321927B (zh) 2018-05-25
TW201603230A (zh) 2016-01-16
US20160005691A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
TWI585929B (zh) 積體電路晶片及後段製程金屬化層之製造方法
US10867921B2 (en) Semiconductor structure with tapered conductor
TWI540678B (zh) 接觸插塞及其製作方法與半導體元件
CN104733378B (zh) 半导体结构及其制造方法
US9177858B1 (en) Methods for fabricating integrated circuits including barrier layers for interconnect structures
US9899258B1 (en) Metal liner overhang reduction and manufacturing method thereof
US9449811B2 (en) Air-gap scheme for BEOL process
US8980745B1 (en) Interconnect structures and methods of forming same
US9553017B2 (en) Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
TW201729379A (zh) 半導體元件結構
US20210098292A1 (en) Metallic interconnect structure
US9209072B2 (en) Global dielectric and barrier layer
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
US20190304919A1 (en) Hybrid metal interconnect structures for advanced process nodes
US20180190760A1 (en) Advanced metal insulator metal capacitor
TW201528428A (zh) 半導體結構及其製造方法
US11830910B2 (en) Semiconductor structure having air gaps and method for manufacturing the same
US11854980B2 (en) Method for forming titanium nitride barrier with small surface grains in interconnects
KR20080088093A (ko) 반도체 소자의 금속배선 형성방법
US9484252B2 (en) Integrated circuits including selectively deposited metal capping layers on copper lines and methods for fabricating the same
JP2015133382A (ja) 半導体装置の製造方法
KR100920040B1 (ko) 반도체 소자의 배선 및 그의 형성방법
CN113206061A (zh) 集成芯片和形成集成芯片的方法