TWI596702B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI596702B
TWI596702B TW102113722A TW102113722A TWI596702B TW I596702 B TWI596702 B TW I596702B TW 102113722 A TW102113722 A TW 102113722A TW 102113722 A TW102113722 A TW 102113722A TW I596702 B TWI596702 B TW I596702B
Authority
TW
Taiwan
Prior art keywords
wafer
oxide
carrier wafer
conductive
width
Prior art date
Application number
TW102113722A
Other languages
English (en)
Other versions
TW201349390A (zh
Inventor
陳思瑩
陳保同
楊敦年
劉人誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201349390A publication Critical patent/TW201349390A/zh
Application granted granted Critical
Publication of TWI596702B publication Critical patent/TWI596702B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • H01L2223/6622Coaxial feed-throughs in active or passive substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Description

半導體裝置及其製造方法
本發明係有關於一種半導體技術,特別為有關於一種具有後導孔(via last)之通孔電極(through-vias)的半導體裝置及其製造方法。
傳統上,在三維設置的半導體晶圓內形成通孔電極的製程中,採用低溫氧化製程,在矽通孔(through silicon vias,TSVs)中內襯一氧化薄層,以將矽通孔電極與晶圓隔離。由於典型的矽通孔電極具有高深寬比(aspect ratio),因此晶圓內的通孔電極底部的溝槽角落內的應力會造成氧化物破裂,且當後續形成金屬化層時,可能形成金屬突出物(例如,銅突出物)。上述破裂及突出物的問題降低了裝置的品質及效能。
在矽通孔電極的特定應用中,在背照式(back side illumination,BSI)互補式金屬氧化物半導體影像(complementary metal oxide semiconductor,CMOS)感測器(CMOS image sensor,CIS)裝置的製造期間,透過晶圓接合製程,一承載晶圓可晶圓接合至一主動裝置晶圓。例如,一矽晶圓可為主動裝置晶圓,且可具有許多積體電路形成於其中,其中每一積體電路為互補式金屬氧化物半導體影像感測器,且具有光二極體陣列。可在形成於主動裝置晶圓的前側上的介電材 料層內形成數層金屬化層。
背照式影像感測器中,主動裝置晶圓的相對背側上,可允許光線照射在背照式互補式金屬氧化物半導體影像感測器的光二極體上,且彩色濾光片陣列(color filter array,CFA)材料可形成於主動裝置晶圓的背側上,並對準於光二極體,以形成彩色像素。微透鏡(microlens,ML)材料可排置於彩色濾光片陣列材料上,以進一步提升光線的接收。一玻璃層可接合至主動裝置晶圓的背側上,以保護互補式金屬氧化物半導體影像感測器裝置。用於彩色濾光片陣列的材料、微透鏡材料及接合材料可能對於高溫製程特別敏感。
為了完成背照式互補式金屬氧化物半導體影像感測器裝置的製作,在晶圓級製程中,承載晶圓(例如,矽承載晶圓)可接合於主動裝置晶圓的前側上。在傳統的方法中,可在半導體承載晶圓內蝕刻出穿透的通孔,進而製造出延伸穿透承載晶圓的導孔開口。通孔可延伸而暴露出形成於主動裝置晶圓上的最上層金屬化層的一部份。一銅導電材料或其他導電材料可沉積於通孔內,且導電材料製造出延伸穿透承載晶圓的電性路徑。
用來在承載晶圓內形成矽通孔電極的矽蝕刻製程及產生的熱及機械應力,可能造成主動裝置晶圓的上層介電層內破裂。破裂處可能形成於通孔中的內襯氧化層中。再者,在銅沉積製程期間,銅突出物可能形成於上述破裂處內。用來在承載晶圓內形成矽通孔電極的製程可能需要高溫製程,而這些製程中所需要的高溫可能更加造成主動裝置晶圓內的裝置上 及其他使用的材料上產生不必要的熱應力。
本發明係提供一種半導體裝置的製造方法,包括在一承載晶圓上形成至少一導孔。將一氧化物填入至少一導孔。將承載晶圓裝設至一第二晶圓。蝕刻出一通孔,其穿透填入至少一導孔的氧化物,以形成一氧化物通孔極。將一導電材料填入氧化物通孔。
本發明係提供另一種半導體裝置的製造方法,包括提供一主動裝置晶圓,主動裝置晶圓具有一前側及相對的一背側,前側包括設置於介電層內的一導電內連接材料。提供一承載晶圓,承載晶圓具有以一氧化物填充的複數通孔,通孔從承載晶圓的一第一表面延伸至承載晶圓的一第二表面。將承載晶圓的第二表面接合至主動裝置晶圓的前側。薄化承載晶圓的第一表面。蝕刻通孔內的氧化物,以形成複數氧化物通孔,氧化物通孔從承載晶圓的第一表面延伸進入主動裝置晶圓的介電層,以暴露出複數介電層內的導電內連接材料的一部份。在氧化物通孔內沉積一導電材料,以形成與導電內連接材料電性接觸的導電層。
本發明係提供一種半導體裝置,包括一主動裝置晶圓以及一承載晶圓,主動裝置晶圓具有包括複數電子裝置的一晶圓,且具有設置於一前側表面上的複數導電內連接結構。承載晶圓設置於主動裝置晶圓的前側表面上,且接合至主動裝置晶圓。承載晶圓更包括複數通孔,延伸穿透承載晶圓,且具有一氧化材料形成於通孔內。複數氧化物通孔延伸穿透通孔內 的氧化材料,氧化物通孔的延伸暴露出主動裝置晶圓的導電內連接結構的一部份。一導電材料沉積於氧化物通孔內,導電材料電性接觸主動裝置晶圓的導電內連接結構中暴露出的該部份。複數外部連接器形成於承載晶圓上,且電性連接至導電材料。
11‧‧‧主動裝置晶圓/背照式互補式金屬氧化物半導體影像感測器裝置
13‧‧‧基板/(主動裝置)晶圓
15‧‧‧暫時接合材料
17‧‧‧玻璃基板
19‧‧‧N型金屬氧化物半導體電晶體/摻雜的井區/電晶體井區
21‧‧‧P型金屬氧化物半導體電晶體/摻雜的井區/電晶體井區
23‧‧‧光二極體(陣列)
25‧‧‧介電結構
29‧‧‧閘極導電層
31‧‧‧頂層導電層/頂層金屬化結構
33‧‧‧隔離區
35‧‧‧彩色濾光片陣列材料
37‧‧‧微透鏡裝置
40‧‧‧導孔/通孔
41‧‧‧承載晶圓
42‧‧‧(高密度電漿)氧化物
43、53‧‧‧光阻層/硬式罩幕層
44、46‧‧‧氧化層
45‧‧‧晶圓
47、55、63‧‧‧開口
50‧‧‧阻障層
51‧‧‧氧化物通孔
57‧‧‧重分佈層/導電層
61‧‧‧鈍化保護材料/鈍化護層
65‧‧‧端子/球柵陣列(端子)/連接器
t1‧‧‧厚度
第1圖係繪示出本發明實施例之主動裝置晶圓為背照式互補式金屬氧化物半導體影像感測器的剖面示意圖。
第2圖係繪示出本發明實施例之承載晶圓製造中間階段的剖面示意圖。
第3圖係繪示出第2圖之承載晶圓進行後續製程的剖面示意圖。
第4圖係繪示出第3圖之承載晶圓進行後續的化學機械研磨製程的剖面示意圖。
第5圖係繪示出第4圖之承載晶圓進行後續的氧化物沉積製程的剖面示意圖。
第6圖係繪示出第5圖之承載晶圓接合至一主動裝置晶圓以形成本發明一實施例之接合晶圓結構的剖面示意圖。
第7圖係繪示出第6圖之接合晶圓結構中的承載晶圓進行後續的晶圓薄化製程的剖面示意圖。
第8圖係繪示出第7圖之接合晶圓結構進行後續的導孔製程的剖面示意圖。
第9圖係繪示出本發明一實施例之第8圖之接合晶圓結構 進行後續製程的剖面示意圖。
第10圖係繪示出本發明一實施例之完成的接合晶圓結構的剖面示意圖。
第11圖係繪示出本發明另一實施例之第8圖之接合晶圓結構進行後續製程的剖面示意圖。
第12圖係繪示出本發明另一實施例之第11圖之接合晶圓結構進行後續製程的剖面示意圖。
以下說明本發明實施例之製作與使用。然而,可輕易了解本發明實施例提供許多合適的發明概念而可實施於廣泛的各種特定背景。所揭示的特定實施例僅僅用於說明以特定方法製作及使用本發明,並非用以侷限本發明的範圍。
如後續的圖式說明,本發明實施例提供一種改善內連接結構(例如,通孔電極,包括矽通孔電極、基板通孔電極、延伸穿透轉接板的導孔或類似的結構,此處皆通稱為通孔電極)的可靠度的製程。在特別適用於本發明實施例的特定應用中,背照式互補式金屬氧化物半導體影像感測器裝置形成於主動裝置晶圓內。然而,使用接合晶圓的三維封裝的需求增加,且本發明實施例之通孔電極及製造方法可應用於具有延伸至另一晶圓或基板的通孔電極的承載晶圓或承載基板。以下提供的實施例說明包括透過進行高密度電漿氧化物(high density plasma oxide,HDP Ox)製程的承載晶圓,而形成導孔。透過研磨(grinding)或拋光(polishing)步驟,暴露出通孔及高密度電漿氧化物的底部。一導孔開口後續形成於高密度電漿氧化物內。 形成的通孔為氧化物通孔(through oxide via,TOV),相反於先前技術所述之矽通孔。可透過低溫蝕刻製程形成氧化物通孔,因此可降低產生的熱應力,也可消除通孔電極的傳統製造方法中出現破裂及金屬突出物的問題,進而增加最終裝置的可靠度。
本發明實施例的優點可包括:簡化導孔蝕刻製程、降低主動裝置晶圓內使用的材料上的熱影響(例如,在背照式互補式金屬氧化物半導體影像感測器的應用中,可降低彩色濾光片陣列/微透鏡材料及接合材料上的熱影響)及降低導孔側壁及角落的氧化物出現破裂的風險。
第1圖係繪示出主動裝置晶圓11的剖面示意圖。為了說明本發明實施例的應用,此處使用的範例中,主動裝置晶圓11為一背照式互補式金屬氧化物半導體影像感測器裝置晶圓。本發明實施例中的通孔電極及三維晶圓組裝的優點為可應用於晶圓及基板內的通孔電極,其中承載晶圓與其他裝置堆疊。然而,本發明實施例不限定於任何特定的應用。
請參照第1圖,其繪示出一背照式互補式金屬氧化物半導體影像感測器裝置11。提供一基板13,其可為矽晶圓或其他半導體晶圓。光二極體陣列23形成於部份的基板13內。周邊電路可包括金屬氧化物半導體電晶體(例如,形成於摻雜的井區內的P型金屬氧化物半導體電晶體21及N型金屬氧化物半導體電晶體19)。閘極導電層29可為多晶矽、摻雜的多晶矽或包括金屬閘極材料的其他閘極材料,且可具有側壁絕緣層(未繪示)形成於其上。閘極導電層29形成於摻雜的井區21及19內 的電晶體上及光二極體23上。習知的光二極體陣列23可為包括位於三個電晶體(3T)或四個電晶體(4T)之光二極體單元(cell)內的某些存取電晶體(為簡化圖示而未繪示,例如,電荷轉移電晶體、讀取選擇電晶體及重置電晶體)。隔離區33可為淺溝槽隔離(shallow trench isolation,STI)結構或局部矽氧化(local oxidation of silicon,LOCOS)隔離結構,且提供光二極體23與電晶體井區21及19之間的隔離,電晶體井區21及19也彼此互相電性隔離。
一介電結構25形成於晶圓13的一前側表面上,其可包括複數內層介電層及金屬層間介電層。上述膜層包括所謂的主動裝置晶圓的後段製程(back end of line,BEOL)膜層。後段製程膜層包括導電內連接結構且為習知的。
介電結構25內的材料可包括一種或多種介電材料(例如,二氧化矽、氮化物、氧化物、氮氧化物及高介電常數及低介電常數的介電材料)。導電層,例如頂層導電層31,形成於介電結構25內的膜層中,且分成複數金屬層(例如,第一金屬層或第二金屬層)。上述金屬層透過介電結構25內的介電層彼此互相絕緣,且可透過以下步驟而形成:圖案化介電材料,以形成溝槽、進行化學電鍍(electrochemical plating,ECP)製程,以將導電材料(例如,銅或銅鋁合金)填入溝槽內以及進行化學機械研磨(chemical mechanical polishing,CMP)製程,以去除金屬化層層位上多餘的材料。重複上述步驟,以形成各個層位的金屬化層。也可使用雙鑲嵌(dual damascene)製程或單一鑲嵌製程,以在介電層內形成導電材料。
彩色濾光片陣列材料35形成於晶圓13的背側表面上。藉由僅允許紅色、綠色或藍色光線通過對應的光二極體陣列23之其中一者,具有光二極體的彩色濾光片陣列在背照式互補式金屬氧化物半導體影像感測器裝置11的光二極體陣列23內製造出彩色圖像元件(像素)。微透鏡裝置37收集初始光線,且將初始光線聚焦至彩色濾光片陣列及光二極體。在微透鏡裝置37上提供一暫時接合材料15。一玻璃基板17接合至晶圓13的背側上,以保護影像感測二極體,且玻璃基板17的接合完成了背照式互補式金屬氧化物半導體影像感測器裝置11的背側部份的製作。
當主動裝置晶圓13製作完成且形成互補式金屬氧化物半導體影像感測器裝置11後,需要更多後續的製程步驟,以形成感測器的電性連接。
需注意的是,雖然以背照式互補式金屬氧化物半導體影像感測器裝置11作為特定範例來說明本發明實施例,然而,本發明實施例的三維晶圓接合結構可使用任何類型的主動裝置晶圓。舉例來說,主動裝置晶圓內可使用數位訊號處理器、包括揮發性及非揮發性記憶體之記憶體裝置、類比處理器、射頻電路、電阻、電感及電容。任何類型的裝置皆可形成於主動裝置晶圓內,且透過本發明實施例,可應用於使用晶圓接合及通孔電極來耦接主動裝置晶圓與外部連接器的三維封裝結構。
第2圖係繪示出本發明實施例之承載晶圓41製造中間階段的剖面示意圖。在本實施例中,在被接合至第1圖的 主動裝置晶圓11之前,透過一系列的前段製程,製備出承載晶圓41。在第2圖中,一光阻層或硬式罩幕層43沉積於一晶圓45上。透過光微影及蝕刻步驟,圖案化光阻層或硬式罩幕層43,以形成開口47,其對應於後續形成於晶圓45內的導孔。晶圓45可為半導體晶圓,且可為矽晶圓。然而,也可使用鍺、銦、砷化鎵及其他用於半導體晶圓的材料。在其他實施例中,晶圓45可使用其他用於晶圓接合的承載材料(例如,玻璃及陶瓷)。
第3圖係繪示出第2圖之承載晶圓41進行後續製程步驟的剖面示意圖。從第2圖的剖面示意圖進行至第3圖的剖面示意圖的過程中,使用光阻層43(繪示於第2圖)作為一蝕刻罩幕層,進行一蝕刻製程(例如,包括電漿蝕刻製程、反應性離子蝕刻(reactive ion etching,RIE)製程或類似製程的乾蝕刻製程),形成延伸進入晶圓45內的導孔40。接著,去除光阻層43。例如,可使用高密度電漿製程,以沉積氧化物42且填入導孔40,並覆蓋晶圓45的表面。可透過使用矽烷(SiH4)作為前驅物及使用氧氣來提供沉積的原子的電漿反應器,形成高密度電漿氧化物。相較於其他氧化沉積製程,高密度電漿氧化物較緻密(dense)且可在相對低溫的環境下形成。然而,本實施例之氧化物42並不限定於高密度電漿氧化物,也可使用其他材料(例如,高深寬比(high aspect ratio processing,HARP)之化學氣相沉積(chemical vapor deposition,CVD)氧化物、次常壓化學氣相沉積(sub-atmospheric CVD,SACVD)氧化物或硼磷矽玻璃(boro phosphor silicate glass,BPSG)氧化物)。
第4圖係繪示出第3圖之承載晶圓41進行後續製程 步驟的剖面示意圖。從第3圖的製程階段進行至第4圖的製程階段的過程中,進行化學機械研磨製程或其他氧化物去除製程(例如,蝕刻製程),以將部份的氧化物42從晶圓45的上表面去除。若使用化學機械研磨製程,也可平坦化晶圓45的上表面,而導孔40內仍保留填入的氧化物42。承載晶圓41的厚度t1可大約為400微米至800微米的範圍。在未限定的實施例中,在此製造階段中,承載晶圓41的厚度t1可大約為750微米。
第5圖係繪示出第4圖之承載晶圓41進行後續製程的剖面示意圖。從第4圖的製程階段進行至第5圖的製程階段的過程中,進行氧化沉積製程(例如,化學氣相沉積製程、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)製程或物理氣相沉積(physical vapor deposition,PVD)製程)或熱氧化成長製程,以在晶圓45的上表面形成氧化層44。例如,氧化層44可大約為0.1微米至1微米的範圍。氧化層44可用來在後續的氧化物對氧化物(oxide-to-oxide)晶圓接合製程中,將承載晶圓41分子接合至主動裝置晶圓的一表面上的氧化層(未繪示於第5圖)。氧化層44具有暴露出的平坦表面,且可適用於晶圓接合技術。
第6圖係繪示出上述製備過程完成後且進行氧化物對氧化物晶圓接合製程後的第5圖之承載晶圓41的剖面示意圖。相較於第5圖,第6圖中的承載晶圓41反轉而使得暴露出的表面朝下。例如,為了清楚表示,第6圖的剖面示意圖係繪示出主動裝置晶圓11位於承載晶圓41下方。承載晶圓41接合至主動裝置晶圓11(繪示於第1圖)。氧化物對氧化物晶圓接合製程用 來接合承載晶圓41的氧化層44與形成於主動裝置晶圓11的晶圓13前側上的氧化層46。也可透過熱氧化成長製程、化學氣相沉積製程、電漿增強化學氣相沉積製程或物理氣相沉積,在主動裝置晶圓11的前側上形成氧化層46,且氧化層46的厚度可大約為1微米至2微米的範圍。為了形成晶圓接合,可透過電漿製程或化學清理活化氧化層44及氧化層46的表面並接著進行物理接觸。晶圓接合製程可在室溫或增溫的環境下及真空腔室內進行。在一實施例中,晶圓接合製程期間的溫度可大約為150℃至450℃。
在將承載晶圓41裝設至主動裝置晶圓11的過程中,導孔40對準於主動裝置晶圓11內的頂層金屬化結構31的某些部份。導孔40用來在後續步驟中形成垂直氧化物通孔,進而形成從承載晶圓41的上表面至主動裝置晶圓11中部份的金屬化層結構的電性連接。
第7圖係繪示出第6圖之承載晶圓41及主動裝置晶圓11進行後續製程步驟的剖面示意圖。從第6圖的製程階段進行至第7圖的製程階段的過程中,在晶圓45的表面(在第7圖中為上表面)上進行晶圓研磨製程或晶圓薄化製程(包括機械式晶圓研磨製程、矽蝕刻製程、化學機械研磨製程或上述之組合),以暴露出導孔40,因此形成延伸穿透晶圓45的通孔40。通孔40保留填入於其中的高密度電漿氧化物42。
第8圖係繪示出承載晶圓41及主動裝置晶圓11進行後續製程的剖面示意圖。一光阻層或硬式罩幕層53形成於承載晶圓41暴露出的表面上。透過光微影及蝕刻製程,在光阻層 或硬式罩幕層53內形成開口55。利用開口55及利用光阻層53作為一蝕刻罩幕層,在高密度電漿氧化物42內形成延伸穿透氧化層44及氧化層46的氧化物通孔51,以暴露出主動裝置晶圓11內的金屬化結構31的一部份的頂層。
用來形成氧化物通孔51的蝕刻製程為氧化物蝕刻製程,且可透過反應性離子蝕刻製程來進行,反應性離子蝕刻製程特別適用於在高密度電漿氧化物42內形成延伸進入氧化層44及氧化層46具有高深寬比及非等向性的窄導孔開口。由於蝕刻製程為氧化物蝕刻製程,因此所需的製程溫度對於主動裝置晶圓11內的材料(例如,彩色濾光片陣列及微透鏡材料)的熱影響相對較低。再者,蝕刻氧化物通孔51穿透高密度電漿氧化物42,且開口延伸進入另一氧化層44內並進一步延伸進入氧化層46內,如此一來,整個蝕刻製程皆為氧化物蝕刻製程。相反地,在先前技術中,對應比較的蝕刻製程為矽通孔蝕刻用以穿透承載晶圓而延伸進入下方的氧化層內,其對氧化物產生額外的熱應力。
由於在晶圓接合製程之後形成氧化物通孔51,因此,上述製程可視為“後導孔(via last)”法。
比較此處所述之實施例的方法與先前技術中的矽通孔及熱氧化襯層的形成方法,使用本發明實施例可提供許多優點。由於本發明實施例中使用高密度電漿氧化物隔離形成於導孔內的導電材料與承載晶圓,因此當使用本發明實施例之氧化物通孔時,不會發生先前技術中由於“角落”應力而產生的問題。在先前技術中,進行低溫熱氧化製程,在高深寬比的導 孔內沉積襯層氧化物,會造成破裂的發生。使用本發明實施例,高密度電漿氧化物可形成隔離層,因此不需要再進行形成襯層氧化物的步驟。與先前技術中的問題相比,使用本發明實施例之氧化物通孔,可消除襯層熱氧化物,進而消除伴隨的品質問題。
第9圖係繪示出進行後續製程之後的主動裝置晶圓11及第8圖之承載晶圓41的剖面示意圖。從第8圖進行至第9圖的過程中,去除光阻層53,且進行化學電鍍製程,以在氧化物通孔51內的側壁及底部形成延伸至晶圓45暴露出的表面的一銅重分佈層(redistribution layer,RDL)57。重分佈層57可為銅或銅合金,且可包括阻障層及黏著層(例如,氮化鈦、氮化鉭及類似的材料),以避免銅離子擴散。本發明所屬技術領域中具有通常知識者可以理解,在形成填入氧化物通孔51內的銅或其他導電層57之前或之後,可在氧化物通孔內形成襯層、阻障層、晶種層及類似的膜層。
透過高密度電漿氧化物42,通孔51內的重分佈層57與氧化物晶圓45隔離,如此一來,不需要額外地沉積用來隔離的絕緣層。重分佈層57延伸進入氧化物通孔51內及主動裝置晶圓11內的頂層金屬化結構31暴露出的部份,而形成從晶圓45的上表面通過氧化物通孔51至頂層金屬化結構31的電性連接。重分佈層57也形成於晶圓45的表面上,如第9圖所示,且可圖案化重分佈層57,以在晶圓45的上表面上形成複數引線。因此,重分佈層57可提供引線部份,以形成主動裝置晶圓11內的頂層金屬化結構31的外部電性連接。
第10圖係繪示出第9圖之承載晶圓41及主動裝置晶圓11進行後續製程的剖面示意圖。第10圖繪示出一層鈍化保護材料61(例如,氮化矽或另外的鈍化保護材料)沉積於重分佈層57上之後的氧化物通孔51。鈍化保護材料61覆蓋位於氧化物通孔51內及晶圓45上表面上的重分佈層57。在鈍化保護材料61內形成開口63,且在開口63內形成端子(terminal)/連接器65。端子65可為球柵陣列(ball grid array,BGA)端子,且形成重分佈層57的外部端子/連接器電性接觸的部分。透過重分佈層57,球柵陣列端子65電性連接至主動裝置晶圓11中位於氧化物通孔51內的頂層金屬化結構31。球柵陣列端子65可為焊球或焊料凸塊,且可沉積於重分佈層57上,接著進行熱迴流製程,而完成球柵陣列端子65的製作。
在第9圖及第10圖的實施例中,由於重分佈層57具有球柵陣列端子65,因此不需要通常用來圖案化通孔上的銅或其他導電材料所進行的化學機械研磨製程及鑲嵌製程。
第11圖及第12圖係繪示出本發明另一實施例。第11圖係繪示出第8圖之結構進行後續製程的剖面示意圖。在氧化物通孔51內形成作為襯層的一阻障層(例如,氮化鈦)。透過化學電鍍製程,將一導電材料50(例如,銅或一合金)填入氧化物通孔51,接著進行化學機械研磨製程,以去除過量的導電材料且平坦化氧化物通孔51的表面。因此,上述製程類似於習知用來在導孔內形成銅的鑲嵌製程。
第12圖係繪示出第11圖之結構進行後續製程步驟的剖面示意圖。第12圖繪示出填入銅並進行化學機械研磨製程 後的氧化物通孔51。一重分佈層57由導電材料所構成,以形成基板上的引線。例如,重分佈層57可為鋁合金或銅合金,且可透過光微影及蝕刻圖案化製程而形成重分佈層57,以形成耦接至通孔電極的引線。一鈍化護層61形成於重分佈層57上,且形成開口,並在引線上形成球柵陣列65,以完成主動裝置晶圓11的外部連接的製作。
第10圖及第12圖中的球柵陣列端子65可由含鉛焊料或無鉛銲料所構成,且可由一種或多種焊料(例如銀、錫或銅)所構成。球柵陣列端子形成導電端子,且可為非球形。在其他實施例中,可使用其他形狀(例如,柱體(pillar)、圓柱(column)、間柱(stud)或堆疊間柱)。球柵陣列端子65可包括保護塗層(例如,鎳、金、鈀及合金(例如,化學鍍鎳浸金(electroless nickel immersion gold,ENIG)或化學鍍鎳鈀浸金(electroless nickel electroless palladium immersion gold,ENEPIG))。在其他實施例中,可以間柱、柱體、接合焊線或焊料凸塊取代球柵陣列端子65,且上述結構可為銅、金或其他導電材料(例如,焊料)。形狀可為圓柱、間柱、柱體、焊線接合凸塊或焊線接合間柱,也可使用能將組件或積體電路裝設至另一面板或基板的任何形狀的導電端子。在某些實施例中,使用銅或金焊線的焊線接合間柱凸塊堆疊結構可取代球柵陣列端子65。
上述第2至10圖的製程可為晶圓級製程,即,承載晶圓41可接合至主動裝置晶圓11(如第7圖所示),且後續步驟(包括形成氧化物通孔、導電重分佈層、鈍化護層及球柵陣列端子)皆可為晶圓級製程。另外,在晶圓接合步驟之後,可透 過切割製程,將上述裝置單體化為單一模組,以形成積體電路模組,進而完成單一積體電路模組的製作。由於經濟規模及效率,因此晶圓級製程的使用逐漸增加。然而,本發明實施例並不限定於晶圓級製程。
在此說明本發明實施例的優點,對於熱預算(thermal budget)及機械應力而言,相較於先前技術(即,對已接合至主動裝置晶圓之承載晶圓的矽基板蝕刻),在高密度電漿氧化物(或類似的氧化物)內蝕刻出氧化物通孔的製程可降低應力。再者,本發明實施中,圍繞氧化物通孔內的導電材料的高密度電漿氧化物可避免先前技術中形成通孔電極時出現氧化物破裂及導電材料突出的問題,進而提升可靠度。
配合本發明一實施例之一種半導體裝置的製造方法,包括在一承載晶圓上形成至少一導孔。將一氧化物填入至少一導孔。將承載晶圓裝設至一第二晶圓。蝕刻出一通孔,其穿透填入至少一導孔的氧化物,以形成一氧化物通孔。將一導電材料填入氧化物通孔。在一實施例中,上述半導體裝置的製造方法中的氧化物為一高密度電漿氧化物。在一實施例中,上述半導體裝置的製造方法更包括薄化承載晶圓。在一實施例中,上述半導體裝置的製造方法包括在薄化承載晶圓的步驟之前,至少一導孔從承載晶圓的一第一表面延伸進入承載晶圓,而未延伸至承載晶圓的一第二表面。在一實施例中,上述半導體裝置的製造方法中的第二晶圓包括一導電內連接結構,且其中氧化物通孔的延伸進一步暴露出導電內連接結構。在一實施例中,上述半導體裝置的製造方法中的導電材料填入氧化物通 孔,且電性連接至導電內連接結構,且導電材料包括銅。在一實施例中,上述半導體裝置的製造方法更包括在承載晶圓上形成外部端子,與導電材料電性接觸。在一實施例中,導電材料包括銅。
配合本發明另一實施例之一種半導體裝置的製造方法,包括提供一主動裝置晶圓,主動裝置晶圓具有一前側及相對的一背側,前側包括設置於介電層內的一導電內連接材料。提供一承載晶圓,承載晶圓具有以一氧化物填充的通孔,通孔從承載晶圓的一第一表面延伸至承載晶圓的一第二表面。將承載晶圓的第二表面接合至主動裝置晶圓的前側。薄化承載晶圓的第一表面。蝕刻通孔內的氧化物,以形成氧化物通孔,氧化物通孔從承載晶圓的第一表面延伸進入主動裝置晶圓的介電層,以暴露出介電層內的導電內連接材料的一部份。在氧化物通孔內沉積一導電材料,以形成與導電內連接材料電性接觸的導電層。
在一實施例中,上述半導體裝置的製造方法中的主動裝置晶圓更包括互補式金屬氧化物半導體影像感測器。在一實施例中,上述半導體裝置的製造方法中的互補式金屬氧化物半導體影像感測器為背照式互補式金屬氧化物半導體影像感測器。在一實施例中,上述半導體裝置的製造方法更包括在承載晶圓的第二表面上沉積導電材料。圖案化承載晶圓的第二表面上的導電材料,以形成導電引線,其耦接至氧化物通孔內的導電材料。形成外部連接器,其耦接至導電引線。
在一實施例中,上述半導體裝置的製造方法中, 形成外部連接器的步驟包括在承載晶圓的第二表面上形成一鈍化保護材料,且覆蓋導電引線。圖案化鈍化保護材料,於其中形成開口,以暴露出導電引線的部份。在開口內形成外部連接器。在一實施例中,上述半導體裝置的製造方法中沉積導電材料的步驟更包括沉積銅。在一實施例中,上述半導體裝置的製造方法中,外部連接器係選自實質上由焊球、圓柱、柱體、間柱、焊料凸塊及接合焊線所組成的群組。
配合本發明一實施例之一種半導體裝置,包括一主動裝置晶圓以及一承載晶圓,主動裝置晶圓具有包括複數電子裝置的一晶圓,且具有設置於一前側表面上的複數導電內連接結構。承載晶圓設置於主動裝置晶圓的前側表面上,且接合至主動裝置晶圓。承載晶圓更包括複數通孔,延伸穿透承載晶圓,且具有一氧化材料形成於通孔內。複數氧化物通孔延伸穿透通孔內的氧化材料,氧化物通孔的延伸暴露出主動裝置晶圓的導電內連接結構的一部份。一導電材料沉積於氧化物通孔內,導電材料電性接觸主動裝置晶圓的導電內連接結構中暴露出的該部份。複數外部連接器形成於承載晶圓上,且電性連接至導電材料。
在一實施例中,上述半導體裝置中,導電材料包括銅。在一實施例中,上述半導體裝置中,主動裝置晶圓包括背照式互補式金屬氧化物半導體影像感測器。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可更動與組合上述各種實施 例。
11‧‧‧主動裝置晶圓/背照式互補式金屬氧化物半導體影像感測器裝置
31‧‧‧頂層導電層/頂層金屬化結構
40‧‧‧導孔/通孔
41‧‧‧承載晶圓
42‧‧‧(高密度電漿)氧化物
44、46‧‧‧氧化層
45‧‧‧晶圓
63‧‧‧開口
51‧‧‧氧化物通孔
57‧‧‧重分佈層/導電層
61‧‧‧鈍化保護材料/鈍化護層
65‧‧‧端子/球柵陣列(端子)/連接器

Claims (11)

  1. 一種半導體裝置的製造方法,包括:在一承載晶圓上形成至少一導孔;將一氧化物填入該至少一導孔;將該承載晶圓裝設至一第二晶圓;蝕刻出一通孔,其穿透填入該至少一導孔的該氧化物,以形成一氧化物通孔;以及將一導電材料填入該氧化物通孔,其中該至少一導孔在該承載晶圓的一第一側具有一第一寬度,且該至少一導孔在該承載晶圓的一第二側具有一第二寬度,該第一寬度大於該第二寬度,且其中該氧化物通孔在該承載晶圓的該第一側具有一第三寬度,且該氧化物通孔在該承載晶圓的該第二側具有一第四寬度,該第三寬度小於該第四寬度。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該氧化物為一高密度電漿氧化物。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括薄化該承載晶圓,其中在薄化該承載晶圓的步驟之前,該至少一導孔從該承載晶圓的一第一表面延伸進入該承載晶圓,而未延伸至該承載晶圓的一第二表面。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第二晶圓包括一導電內連接結構,且其中該氧化物通孔的延伸進一步暴露出該導電內連接結構,且該導電材料填入該氧化物通孔並電性連接至該導電內連接結構。
  5. 如申請專利範圍第4項所述之半導體裝置的製造方法,更 包括在該承載晶圓上形成複數外部端子,與該導電材料電性接觸。
  6. 一種半導體裝置的製造方法,包括:提供一主動裝置晶圓,該主動裝置晶圓具有一前側及相對的一背側,該前側包括設置於複數介電層內的一導電內連接材料;提供一承載晶圓,該承載晶圓具有以一氧化物填充的複數通孔,該等通孔從該承載晶圓的一第一側延伸至該承載晶圓內;將該承載晶圓的該第一側接合至該主動裝置晶圓的該前側,其中在接合之前該承載晶圓已具有以該氧化物填充的該等通孔;在將該承載晶圓的該第一側接合至該主動裝置晶圓的該前側之後,薄化該承載晶圓的一第二側,進而暴露出該氧化物;在薄化該承載晶圓的該第二側之後,蝕刻該等通孔內的該氧化物,以形成複數氧化物通孔,該等氧化物通孔從該承載晶圓的該第二側延伸進入該主動裝置晶圓的該等介電層,以暴露出該等介電層內的該導電內連接材料的一部份;以及在該等氧化物通孔內沉積一導電材料,以形成與該導電內連接材料電性接觸的複數導電層。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中該主動裝置晶圓更包括複數背照式互補式金屬氧化物半 導體影像感測器。
  8. 如申請專利範圍第6項所述之半導體裝置的製造方法,更包括:在該承載晶圓的該第二側上沉積該導電材料;圖案化該承載晶圓的該第二側上的該導電材料,以形成複數導電引線,其耦接至該等氧化物通孔內的該導電材料;以及形成複數外部連接器,其耦接至該等導電引線。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中形成該等外部連接器的步驟包括:在該承載晶圓的該第二側上形成一鈍化保護材料,且覆蓋該等導電引線;圖案化該鈍化保護材料,於其中形成複數開口,以暴露出該等導電引線的複數部份;以及在該等開口內形成該等外部連接器,其中該等外部連接器係選自實質上由焊球、圓柱、柱體、間柱、焊料凸塊及接合焊線所組成的群組。
  10. 一種半導體裝置,包括:一主動裝置晶圓,具有包括複數電子裝置的一晶圓,且具有設置於一前側表面上的複數導電內連接結構;以及一承載晶圓,設置於該主動裝置晶圓的該前側表面上,且接合至該主動裝置晶圓,該承載晶圓更包括:複數通孔,延伸穿透該承載晶圓,且具有一氧化材料形成於該等通孔內,其中該等通孔在該承載晶圓的一第一側具 有一第一寬度,且該等通孔在該承載晶圓的一第二側具有一第二寬度,該第一寬度大於該第二寬度;複數氧化物通孔,延伸穿透該等通孔內的該氧化材料,該等氧化物通孔的延伸暴露出該主動裝置晶圓的該等導電內連接結構的一部份,其中該等氧化物通孔在該承載晶圓的該第一側具有一第三寬度,且該等氧化物通孔在該承載晶圓的該第二側具有一第四寬度,該第三寬度小於該第四寬度;一導電材料,沉積於該等氧化物通孔內,該導電材料電性接觸該主動裝置晶圓的該等導電內連接結構中暴露出的該部份;以及複數外部連接器,形成於該承載晶圓上,且電性連接至該導電材料。
  11. 如申請專利範圍第10項所述之半導體裝置,其中該主動裝置晶圓包括背照式互補式金屬氧化物半導體影像感測器。
TW102113722A 2012-04-18 2013-04-18 半導體裝置及其製造方法 TWI596702B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261625987P 2012-04-18 2012-04-18
US13/678,371 US10269863B2 (en) 2012-04-18 2012-11-15 Methods and apparatus for via last through-vias

Publications (2)

Publication Number Publication Date
TW201349390A TW201349390A (zh) 2013-12-01
TWI596702B true TWI596702B (zh) 2017-08-21

Family

ID=49379326

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113722A TWI596702B (zh) 2012-04-18 2013-04-18 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US10269863B2 (zh)
KR (1) KR101431372B1 (zh)
CN (2) CN103377998A (zh)
TW (1) TWI596702B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9041206B2 (en) 2013-03-12 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US8921901B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Stacked CMOS image sensor and signal processor wafer structure
JP6299406B2 (ja) * 2013-12-19 2018-03-28 ソニー株式会社 半導体装置、半導体装置の製造方法、及び電子機器
US9349767B2 (en) * 2014-04-16 2016-05-24 Semiconductor Components Industries, Llc Image sensors with through-oxide via structures
TWI542263B (zh) * 2014-07-31 2016-07-11 恆勁科技股份有限公司 中介基板及其製法
US9379159B2 (en) * 2014-10-15 2016-06-28 Omnivision Technologies, Inc. Method of fabricating multi-wafer image sensor
CN104576675A (zh) * 2015-01-05 2015-04-29 武汉新芯集成电路制造有限公司 一种背照式图像传感器的制备方法
CN104616997A (zh) * 2015-01-05 2015-05-13 武汉新芯集成电路制造有限公司 背照式图像传感器的制备方法
TWI692859B (zh) 2015-05-15 2020-05-01 日商新力股份有限公司 固體攝像裝置及其製造方法、以及電子機器
US9978791B2 (en) 2015-07-31 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd Image sensor and method for manufacturing the same
JP6725231B2 (ja) * 2015-10-06 2020-07-15 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子、および電子装置
US10410981B2 (en) * 2015-12-31 2019-09-10 International Business Machines Corporation Effective medium semiconductor cavities for RF applications
FR3069371B1 (fr) * 2017-07-19 2019-08-30 Stmicroelectronics (Crolles 2) Sas Dispositif electronique capteur d'images
KR102430496B1 (ko) * 2017-09-29 2022-08-08 삼성전자주식회사 이미지 센싱 장치 및 그 제조 방법
US10510794B2 (en) 2017-10-31 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor image sensor
US11536800B2 (en) 2017-12-22 2022-12-27 Hrl Laboratories, Llc Method and apparatus to increase radar range
US11527482B2 (en) 2017-12-22 2022-12-13 Hrl Laboratories, Llc Hybrid integrated circuit architecture
EP3729500A4 (en) 2017-12-22 2021-11-17 Hrl Laboratories, Llc HYBRID INTEGRATED CIRCUIT ARCHITECTURE
CN108417594B (zh) * 2018-02-27 2020-11-27 上海集成电路研发中心有限公司 一种背照式cmos图像传感器结构的互联工艺方法
WO2019193787A1 (ja) * 2018-04-04 2019-10-10 パナソニックIpマネジメント株式会社 電子デバイス
CN108766893B (zh) * 2018-05-31 2020-05-05 德淮半导体有限公司 半导体装置及其制造方法
US10957537B2 (en) 2018-11-12 2021-03-23 Hrl Laboratories, Llc Methods to design and uniformly co-fabricate small vias and large cavities through a substrate
CN110223997A (zh) * 2019-06-20 2019-09-10 德淮半导体有限公司 堆叠式图像传感器及其形成方法
CN110783265A (zh) * 2019-11-05 2020-02-11 武汉新芯集成电路制造有限公司 一种半导体器件及其制作方法
CN111312719B (zh) * 2020-02-27 2021-08-13 长江存储科技有限责任公司 半导体结构及其制备方法
JP7022174B2 (ja) * 2020-06-25 2022-02-17 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子、および電子装置
US11217525B1 (en) * 2020-07-01 2022-01-04 Nanya Technology Corporation Semiconductor structure and method of forming the same
US11972970B1 (en) 2020-09-01 2024-04-30 Hrl Laboratories, Llc Singulation process for chiplets
KR20220046786A (ko) 2020-10-08 2022-04-15 삼성전자주식회사 비휘발성 메모리 장치 및 비휘발성 메모리 장치를 포함하는 비휘발성 메모리 시스템
KR20220058682A (ko) 2020-10-29 2022-05-10 삼성전자주식회사 반도체 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020017710A1 (en) * 2000-08-04 2002-02-14 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US20100308386A1 (en) * 2002-03-19 2010-12-09 Sony Corporation Solid state image pickup device and method of producing solid state image pickup device
TW201244046A (en) * 2011-04-19 2012-11-01 Sony Corp Semiconductor device, manufacturing method thereof, solid-state imaging device, and electronic apparatus

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2604939C3 (de) * 1976-02-09 1978-07-27 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen von wenigstens einem Durchgangsloch insbesondere einer Düse für Tintenstrahldrucker
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US6013948A (en) * 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
US6716737B2 (en) * 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US20040087213A1 (en) * 2002-08-16 2004-05-06 Chi-Lei Kao Plug used for connection with a usb receptacle
JP3696208B2 (ja) * 2003-01-22 2005-09-14 株式会社東芝 半導体装置
US7180149B2 (en) * 2003-08-28 2007-02-20 Fujikura Ltd. Semiconductor package with through-hole
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
TWI303864B (en) * 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
US7268410B1 (en) * 2005-01-24 2007-09-11 National Semiconductor Corporation Integrated switching voltage regulator using copper process technology
US7485967B2 (en) * 2005-03-10 2009-02-03 Sanyo Electric Co., Ltd. Semiconductor device with via hole for electric connection
DE102005029784A1 (de) 2005-06-24 2007-01-11 Siemens Ag Elektronikbaugruppe und Verfahren zur Herstellung einer Elektronikbaugruppe
US7342272B2 (en) * 2005-08-31 2008-03-11 Micron Technology, Inc. Flash memory with recessed floating gate
US7935568B2 (en) * 2006-10-31 2011-05-03 Tessera Technologies Ireland Limited Wafer-level fabrication of lidded chips with electrodeposited dielectric coating
KR100830581B1 (ko) * 2006-11-06 2008-05-22 삼성전자주식회사 관통전극을 구비한 반도체 소자 및 그 형성방법
US8569876B2 (en) * 2006-11-22 2013-10-29 Tessera, Inc. Packaged semiconductor chips with array
JP4403424B2 (ja) * 2006-11-30 2010-01-27 ソニー株式会社 固体撮像装置
US8076744B2 (en) * 2007-01-25 2011-12-13 Chien-Hung Liu Photosensitizing chip package and manufacturing method thereof
KR100881199B1 (ko) 2007-07-02 2009-02-05 삼성전자주식회사 관통전극을 구비하는 반도체 장치 및 이를 제조하는 방법
DE202007012632U1 (de) * 2007-09-10 2008-01-03 GEOTEX Holland-Moritz GbR (vertretungsberechtigter Gesellschafter: Herr Jan Holland-Moritz, 36466 Dermbach) Geomatte
JP5656341B2 (ja) * 2007-10-29 2015-01-21 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置およびその製造方法
JP4799542B2 (ja) * 2007-12-27 2011-10-26 株式会社東芝 半導体パッケージ
US7791174B2 (en) * 2008-03-07 2010-09-07 Advanced Inquiry Systems, Inc. Wafer translator having a silicon core isolated from signal paths by a ground plane
JP2009277883A (ja) * 2008-05-14 2009-11-26 Sharp Corp 電子素子ウェハモジュールおよびその製造方法、電子素子モジュール、電子情報機器
KR20090128899A (ko) 2008-06-11 2009-12-16 크로스텍 캐피탈, 엘엘씨 후면 조사 이미지 센서 및 그 제조방법
JP5356742B2 (ja) * 2008-07-10 2013-12-04 ラピスセミコンダクタ株式会社 半導体装置、半導体装置の製造方法および半導体パッケージの製造方法
JP2010040621A (ja) * 2008-08-01 2010-02-18 Toshiba Corp 固体撮像デバイス及びその製造方法
KR20100020718A (ko) * 2008-08-13 2010-02-23 삼성전자주식회사 반도체 칩, 그 스택 구조 및 이들의 제조 방법
US8278152B2 (en) 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US8158515B2 (en) * 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
JP5412506B2 (ja) * 2009-03-27 2014-02-12 パナソニック株式会社 半導体装置
US8143097B2 (en) * 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
TWI505428B (zh) * 2010-03-11 2015-10-21 Xintec Inc 晶片封裝體及其形成方法
US8598695B2 (en) * 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
US8791575B2 (en) * 2010-07-23 2014-07-29 Tessera, Inc. Microelectronic elements having metallic pads overlying vias
US20120061789A1 (en) * 2010-09-13 2012-03-15 Omnivision Technologies, Inc. Image sensor with improved noise shielding
CN102130045B (zh) * 2010-12-31 2015-12-02 上海集成电路研发中心有限公司 通孔加工方法
US9711403B2 (en) * 2011-01-17 2017-07-18 Xintec Inc. Method for forming chip package

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020017710A1 (en) * 2000-08-04 2002-02-14 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic equipment
US20100308386A1 (en) * 2002-03-19 2010-12-09 Sony Corporation Solid state image pickup device and method of producing solid state image pickup device
TW201244046A (en) * 2011-04-19 2012-11-01 Sony Corp Semiconductor device, manufacturing method thereof, solid-state imaging device, and electronic apparatus

Also Published As

Publication number Publication date
US11978758B2 (en) 2024-05-07
CN103377998A (zh) 2013-10-30
US20210159264A1 (en) 2021-05-27
US11600653B2 (en) 2023-03-07
TW201349390A (zh) 2013-12-01
US20190237505A1 (en) 2019-08-01
US20130277789A1 (en) 2013-10-24
KR101431372B1 (ko) 2014-08-19
KR20130117329A (ko) 2013-10-25
CN110491832A (zh) 2019-11-22
US10269863B2 (en) 2019-04-23

Similar Documents

Publication Publication Date Title
US11978758B2 (en) Methods and apparatus for via last through-vias
US10431546B2 (en) Manufacturing method for semiconductor device and semiconductor device
US10777600B2 (en) Semiconductor device, manufacturing method thereof, and electronic apparatus
US10157958B2 (en) Vertically integrated image sensor chips and methods for forming the same
US11296252B2 (en) Method and apparatus for CMOS sensor packaging
US10090349B2 (en) CMOS image sensor chips with stacked scheme and methods for forming the same
US8952474B2 (en) Method of fabricating backside-illuminated image sensor
KR20190059857A (ko) 하이브리드 본딩된 구조물
US9013022B2 (en) Pad structure including glue layer and non-low-k dielectric layer in BSI image sensor chips
TWI499047B (zh) 形成半導體元件的方法及成像裝置
TWI750751B (zh) 形成影像感測器晶片的方法
TWI732269B (zh) 用於改善接合性的墊結構及其形成方法
TWI806300B (zh) 金屬柵格的製造方法、背照式影像感測器及其製造方法
KR102485701B1 (ko) 반도체 디바이스 및 방법
US11682654B2 (en) Semiconductor structure having a sensor device and method of manufacturing the same
CN108695173B (zh) 一种半导体器件的制造方法
JP2018182346A (ja) 半導体装置およびその製造方法