TWI593105B - 半導體裝置結構之形成方法 - Google Patents

半導體裝置結構之形成方法 Download PDF

Info

Publication number
TWI593105B
TWI593105B TW104141053A TW104141053A TWI593105B TW I593105 B TWI593105 B TW I593105B TW 104141053 A TW104141053 A TW 104141053A TW 104141053 A TW104141053 A TW 104141053A TW I593105 B TWI593105 B TW I593105B
Authority
TW
Taiwan
Prior art keywords
mask layer
layer
forming
film
mask
Prior art date
Application number
TW104141053A
Other languages
English (en)
Other versions
TW201712867A (zh
Inventor
廖耕潁
曾重賓
陳柏仁
陳益弘
陳怡傑
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201712867A publication Critical patent/TW201712867A/zh
Application granted granted Critical
Publication of TWI593105B publication Critical patent/TWI593105B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導體裝置結構之形成方法
本發明係有關於一種半導體裝置結構之形成方法,特別有關於一種具有保護層的半導體裝置結構之形成方法。
現代的半導體積體電路(ICs)已經歷了快速的發展。在積體電路材料及設計中的技術進展已造就出許多積體電路的世代,其中各個世代相較於前一個世代具有較小且較複雜的電路。然而,這些進展也增加了製程及積體電路製造的複雜度。
在積體電路的發展中,隨著當幾何尺寸(geometry size,即採用一製程所能形成之最小元件或線路)的縮減,便增加了功能密度(functional density,即在每一晶片面積中內連接的裝置的數量)。這種微縮化製程通常有益於提升生產效率以及降低相關花費。
然而,由於特徵尺寸持續地微縮化,製造製程的實施變得更加困難。因此形成具有更小尺寸的可靠的半導體裝置是一個挑戰。
本揭露包括一種半導體裝置結構之形成方法,包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成 一第二遮罩層於第一遮罩層上,且第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以移除第一遮罩層的第一部分以及形成一保護層於第二遮罩層的一第一側壁上,其中在電漿蝕刻與沉積製程之後,第一遮罩層露出薄膜的一第二部分,以及以第一遮罩層及第二遮罩層為一蝕刻遮罩移除第二部分。
本揭露亦包括另一種半導體裝置結構之形成方法,包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成一第二遮罩層於第一遮罩層上,其中第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以移除第一遮罩層的第一部分,並且形成一保護層於第二遮罩層的一第一側壁上,其中在電漿蝕刻與沉積製程之後,第一遮罩層露出薄膜的一第二部分。移除該保護層,以及以第一遮罩層及第二遮罩層為一蝕刻遮罩移除薄膜的第二部分。
本揭露亦包括又另一種半導體裝置結構之形成方法,包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成一第二遮罩層於第一遮罩層上,其中第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以薄化第一遮罩層的第一部分,並且形成一保護層於第二遮罩層的一第一側壁上,移除保護層以及第一遮罩層的第一部分,其中在移除第一遮罩層的第一部份後,第一遮罩層露出薄膜的一第二部。以第一遮罩層及第二遮罩層為一蝕刻遮罩移除薄膜的第二部分。
110‧‧‧基底
112‧‧‧主動區域
114‧‧‧重摻雜區域
120‧‧‧隔離結構
130‧‧‧閘極介電層
140‧‧‧閘極
140a‧‧‧閘極材料層
142、152、162、166‧‧‧部分
150‧‧‧薄膜
154‧‧‧上表面
160‧‧‧抗反射層
164、172‧‧‧側壁
170‧‧‧遮罩層
180‧‧‧保護層
190a‧‧‧間隔物層
190‧‧‧間隙壁
W1、W2、W3、W4‧‧‧寬度
第1A-1H圖根據一些實施例,繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。
第2圖繪示第1C圖的半導體裝置結構的上視示意圖。
第3A-3F圖根據一些實施例,繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。
第4A-4G圖根據一些實施例,繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。
第5圖繪示第4A圖的半導體裝置結構的上視示意圖。
本說明書的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。再者,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
另外,在空間上的相關用語,例如“之下”、“以 下”、“下方”、“之上”、“上方”等等係用以容易表達出本說明書中的部件或特徵部件與其他部件或特徵部件的關係。這些空間上的相關用語除了涵蓋了圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。裝置可具有不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
第1A-1H圖繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。第2圖為第1C圖的半導體裝置結構的上視示意圖。如第1A圖所示,提供一基底110。根據一些實施例,基底110包括一半導體晶圓(例如一矽晶圓)或一半導體晶圓的一部分。
在一些實施例中,基底110由一元素半導體材料形成,其包括單晶、多晶或非晶結構的矽或鍺。在一些實施例中,基底110為一化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦,或是合金半導體,例如矽鍺(SiGe)、鎵砷磷(GaAsP),或其組合。基底110也可包括多層半導體、絕緣層上覆半導體(semiconductor on insulator,SOI)(例如絕緣層上覆矽或絕緣層上覆鎵),或其組合。
如第1A圖所示,一隔離結構120形成於基底110中,以定義基底110的各個主動區域112,並且將相鄰的裝置(例如,電晶體)彼此電性隔離。隔離結構120圍繞主動區域112。
根據一些實施例,隔離結構120係由一介電材料形成。根據一些實施例,介電材料包括氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸玻璃(fluoride-doped silicate glass,FSG)、低 介電常數(low-K)介電材料、其他合適之材料,或其組合。根據一些實施例,藉由一隔離技術以形成隔離結構120,例如半導體區域氧化(local oxidation of semiconductor,LOCOS)、淺溝槽隔離(shallow trench isolation,STI),或與其相似的技術。
在一些實施例中,隔離結構120的形成包括藉由一光微影製程圖案化基底110,於基底110中蝕刻一溝槽(例如,藉由一乾蝕刻、濕蝕刻、電漿蝕刻,或其組合),以及將介電材料填入溝槽中(例如,藉由使用一化學氣相沉積製程(chemical vapor deposition,CVD))。在一些實施例中,被填入的溝槽可具有一多層膜結構,例如有氮化矽或氧化矽的熱氧化物襯層。
如第1A圖所示,根據一些實施例,一閘極介電層130形成於基底110與隔離結構120上。根據一些實施例,閘極介電層130係由氧化矽、氮氧化矽、高介電常數(high-K)材料,或其組合所形成。根據一些實施例,使用一化學氣相沉積(CVD)或物理氣相沉積(physical vapor deposition,PVD)製程形成閘極介電層130。
如第1A圖所示,根據一些實施例,一閘極材料層140a於閘極介電層130上。根據一些實施例,閘極材料層140a係由多晶矽或其他合適之材料形成。根據一些實施例,使用一化學氣相沉積(CVD)或物理氣相沉積製程(PVD)形成閘極材料層140a。
如第1A圖所示,根據一些實施例,一薄膜150形成於閘極材料層140a上。根據一些實施例,在後續的一蝕刻製程 實施時,薄膜150被配置作為一硬遮罩層。根據一些實施例,薄膜150包括氧化矽、氮化矽(例如,Si3N4)、氮氧化矽(SiON)、碳化矽(SiC)、碳氧化矽(SiOC)、其組合,或是其他合適之材料。可藉由化學氣相沉積(CVD)或物理氣相沉積(PVD)、原子層沉積(atomic layer deposition,ALD)、旋轉塗佈(spin-on coating),或其他適用之製程形成薄膜150。
如第1A圖所示,根據一些實施例,一抗反射層160形成於薄膜150上。根據一些實施例,抗反射層160可視為一遮罩層。抗反射層160包括一高分子材料(例如,一底部抗反射塗佈材料)或其他合適之材料。使用一旋轉塗佈製程或其他合適之製程來形成抗反射層160。
如第1A圖所示,根據一些實施例,一遮罩層170形成於抗反射層160上。根據一些實施例,遮罩層170包括一高分子材料(例如,一光阻材料)。根據一些實施例,遮罩層170包括一光阻層。使用旋轉塗佈製程或其他合適之製程形成遮罩層170。在一些實施例中,薄膜150、抗反射層160以及遮罩層170由不同的材料形成。
如第1B圖所示,根據一些實施例,移除遮罩層170的一部分。根據一些實施例,移除製程包括一光微影製程。根據一些實施例,遮罩層170露出抗反射層160的一部分162。
如第1C圖以及第2圖所示,根據一些實施例,實施一電漿蝕刻與沉積製程於抗反射層160與遮罩層170。根據一些實施例,電漿蝕刻與沉積製程移除抗反射層160的部分162且形成一保護層180於遮罩層170的側壁172上。
根據一些實施例,保護層180更形成於抗反射層160的側壁164上。根據一些實施例,保護層180包括一高分子材料。在一些實施例中,保護層連續地覆蓋側壁164與172。
根據一些實施例,保護層180圍繞遮罩層170與抗反射層160。根據一些實施例,保護層180連續地圍繞遮罩層170與抗反射層160。根據一些實施例,在電漿蝕刻與沉積製程後,抗反射層160與保護層180露出薄膜150的一部分152。
在一些實施例中,電漿蝕刻與沉積製程使用一製程氣體。根據一些實施例,配置製程氣體作為一蝕刻氣體以及一高分子氣體。根據一些實施例,配置高分子氣體以在電漿蝕刻與沉積製程過程中提供一高分子沉積。
製程氣體包括三氟甲烷(CHF3)、二氟甲烷(CH2H2)、氟甲烷(CH3F),或其組合。根據一些實施例,製程氣體包括純的三氟甲烷以及無法避免的雜質氣體。根據一些實施例,製程氣體包括純的二氟甲烷以及無法避免的雜質氣體。根據一些實施例,製程氣體包括純的氟甲烷以及無法避免的雜質氣體。
在電漿蝕刻與沉積製程過程中,遮罩層170的一露出部分被蝕刻去除。由於在電漿蝕刻與沉積製程過程中保護層180形成於遮罩層170的側壁172上,保護層180減少鄰接側壁172的遮罩層170的蝕刻速率。
因此,被蝕刻的遮罩層170可保留期望的寬度W1,其大於沒有保護層180存在下被蝕刻之遮罩層的寬度。此外,在抗反射層160的部分162被移除時,形成於側壁172上的保護層180可作為一蝕刻遮罩。
因此,藉由保護層180的形成,擴大了被蝕刻的抗反射層160的寬度W2。因此被蝕刻的抗反射層160可具有一期望的寬度W2。保護層180的形成可防止在抗反射層160的關鍵尺寸(critical dimension)(例如,寬度W2)上的不期望發生的縮減。保護層180的形成簡化了用於第1B圖中的光微影製程的光罩之設計,其可降低光罩的花費。
在一些實施例中,抗反射層160的側壁164為漸縮(taper)側壁。因此,根據一些實施例,抗反射層160的寬度W2朝薄膜150的方向增加。根據一些實施例,因此抗反射層160的寬度W2大於遮罩層170的寬度W1。在一些實施例中,抗反射層160的最大寬度W2大於遮罩層170的寬度W1。
根據一些實施例,電漿蝕刻與沉積製程的實施使用介於約200W至約700W的一偏壓功率。根據一些實施例,電漿蝕刻與沉積製程於介於約3mTorr至約10mTorr的壓力下實施。
如果偏壓功率小於200W且壓力大於10mTorr,保護層會不只形成於側壁164、172上,也會形成於部分152的一上表面154,如此會妨礙接下來實施的移除製程以移除薄膜150的部分152。如果偏壓功率大於700W且壓力小於3mTorr,保護層會無法形成或只能部分形成。
如第1D圖所示,根據一些實施例,使用遮罩層170與抗反射層160作為一蝕刻遮罩移除薄膜150的部分152。在一些實施例中,在移除部分152的移除過程中保護層180也可作為一蝕刻遮罩。根據一些實施例,移除製程包括一乾蝕刻製程。 根據一些實施例,移除製程後,薄膜150露出閘極材料層140a的一部分142。
在移除製程後,由於遮罩層170與抗反射層160具有期望的寬度W1與W2,薄膜150具有期望的寬度W3。在一些實施例中,保護層180將寬度W3擴大。保護層180的形成可防止在抗反射層160與薄膜150的關鍵尺寸(critical dimension)(例如,寬度W2與W3)上的不期望發生的縮減。
如第1E圖所示,根據一些實施例,移除遮罩層170、抗反射層160,以及保護層180。根據一些實施例,使用一乾蝕刻製程來移除遮罩層170、抗反射層160,以及保護層180。如第1E圖所示,根據一些實施例,使用薄膜150作為一蝕刻遮罩來移除閘極材料層140的部分142。
根據一些實施例,經過移除部分142的移除製程後,餘下的閘極材料層140a形成一閘極140。根據一些實施例,移除製程也移除了位於部分142下的部分閘極介電層130。根據一些實施例,移除製程包括一乾蝕刻製程。
根據一些實施例,經過移除製程後,由於薄膜150具有期望的寬度W3,閘極140具有期望的寬度W4。如第1D-1E圖所示,保護層180的形成可防止在抗反射層160、薄膜150與閘極140的關鍵尺寸(critical dimension)(例如,寬度W2、W3與W4)上的不期望發生的縮減。
如第1F圖所示,根據一些實施例,一間隔物層190a形成於基底110、隔離層120、閘極介電層130、閘極140,以及薄膜150上。間隔物層190a包括一絕緣材料,例如氧化矽或氮 化矽。根據一些實施例,使用一化學氣相沉積製程來形成間隔物層190a。
如第1G圖所示,根據一些實施例,實施一非等向性(anisotropic)蝕刻製程移除間隙壁190a的一部分。根據一些實施例,餘留在閘極介電層130、閘極140,以及薄膜150的側壁上的間隔物層190a形成間隙壁190。根據一些實施例,配置間隙壁190將後續形成的一閘極與其他裝置電性隔離,並且在接下來的離子佈植製程中,將間隙壁190作為一遮罩層。根據一些實施例,非等向性蝕刻製程包括一乾蝕刻製程。
如第1H圖所示,根據一些實施例,於基底110中形成重摻雜區域114。根據一些實施例,使用一離子佈植製程形成重摻雜區域114。根據一些實施例,實施離子佈植製程將P型雜質(例如,硼)或N型雜質(例如,磷)導入基底110。
根據一些實施例,重摻雜區域114係一重摻雜源極區域以及一重摻雜汲極區域。根據一些實施例,重摻雜區域114位於閘極140的相對兩側。
如果保護層180的厚度大於期望的厚度,保護層可被薄化或移除。詳細例示說明如下所述。
第3A-3F圖根據一些實施例,繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。根據一些實施例,於第1C圖的步驟後,如第3A圖所示,移除保護層180。根據一些實施例,移除製程包括一乾蝕刻製程。根據一些實施例,在移除製程後,抗反射層160露出薄膜150的一部分152。
如第3B圖所示,根據一些實施例,使用遮罩層170 以及抗反射層160作為一蝕刻遮罩,移除薄膜150的部分152。根據一些實施例,移除製程包括一乾蝕刻製程。根據一些實施例,在移除製程後,薄膜150露出閘極材料層140a的一部分142。
在移除製程後,由於遮罩層170與抗反射層160具有期望的寬度W1與W2,因此薄膜150具有期望的寬度W3。如第1C圖以及第3A-3B圖所示,保護層180的形成可防止在抗反射層160與薄膜15的關鍵尺寸(critical dimension)(例如,寬度W2與W3)上的不期望發生的縮減。
如第3C圖所示,根據一些實施例,移除遮罩層170與抗反射層160。根據一些實施例,使用一乾蝕刻製程移除遮罩層170與抗反射層160。如第3C圖所示,根據一些實施例,使用薄膜150做為一蝕刻遮罩,移除閘極材料層140a的部分142。
根據一些實施例,在移除部分142的移除製程後,閘極材料層140a的餘留部分形成一閘極140。根據一些實施例,移除製程也移除位於部分142下的閘極介電層130的一部分。根據一些實施例,移除製程包括一乾蝕刻製程。
根據一些實施例,在移除製程後,由於薄膜150具有期望的寬度W3,因此閘極140具有期望的寬度W4。如第1C圖以及第3A-3C圖所示,保護層180的形成可防止在抗反射層160、薄膜150與閘極140的關鍵尺寸(critical dimension)(例如,寬度W2、W3與W4)上的不期望發生的縮減。
如第3D圖所示,根據一些實施例,一間隔物層190a形成於基底110、隔離層120、閘極介電層130、閘極140,以及 薄膜150上。間隔物層190a包括一絕緣材料,例如氧化矽或氮化矽。根據一些實施例,使用一化學氣相沉積製程(CVD)形成間隔物層190a。
如第3E圖所示,根據一些實施例,實施一非等向性蝕刻製程,移除間隔物層190a的一部分。根據一些實施例,位於閘極介電層130、閘極140,以及薄膜150的側壁上的餘留下來間隔物層190a形成間隙壁190。根據一些實施例,配置間隙壁190將後續形成的一閘極與其他裝置電性隔離,並且在接下來的離子佈植製程中,將間隙壁190作為一遮罩層。根據一些實施例,非等向性蝕刻製程包括一乾蝕刻製程。
如第3F圖所示,根據一些實施例,於基底110中形成重摻雜區域114。根據一些實施例,使用一離子佈植製程形成重摻雜區域114。根據一些實施例,實施離子佈植製程將P型雜質(例如,硼)或N型雜質(例如,磷)導入基底110。
根據一些實施例,重摻雜區域114係一重摻雜源極區域以及一重摻雜汲極區域。根據一些實施例,重摻雜區域114位於閘極140的相對兩側。
第4A-4G圖根據一些實施例,繪示形成一半導體裝置結構的各個製程步驟的剖面示意圖。第5圖根據一些實施例,繪示第4A圖的半導體裝置結構的上視示意圖。
在第1B圖的步驟後,如第4A圖與第5圖所示,根據一些實施例,實施一電漿蝕刻與沉積製程於抗反射層160與遮罩層170上。根據一些實施例,電漿蝕刻與沉積製程薄化抗反射層160的部分162,並且形成一保護層180於遮罩層170的側壁 172上。
根據一些實施例,在電漿蝕刻與沉積製程後,抗反射層160具有一部份166於遮罩層170下。根據一些實施例,部分166突出自薄化的部分162的一上表面168。根據一些實施例,部分166具有側壁164。
根據一些實施例,保護層180更形成於部分166的側壁164上。根據一些實施例,保護層覆蓋部分166的側壁164。根據一些實施例,保護層180包括一高分子材料。在一些實施例中,保護層180連續地覆蓋側壁164與174。根據一些實施例,保護層180圍繞遮罩層170與部分166。根據一些實施例,保護層保護層180連續地圍繞遮罩層170與部分166。
在一些實施例中,電漿蝕刻與沉積製程使用一製程氣體。根據一些實施例,配置製程氣體作為一蝕刻氣體以及一高分子氣體。根據一些實施例,配置高分子氣體以在電漿蝕刻與沉積製程過程中提供一高分子沉積。
製程氣體包括三氟甲烷(CHF3)、二氟甲烷(CH2H2)、氟甲烷(CH3F),或其組合。根據一些實施例,製程氣體包括純的三氟甲烷以及無法避免的雜質氣體。根據一些實施例,製程氣體包括純的二氟甲烷以及無法避免的雜質氣體。根據一些實施例,製程氣體包括純的氟甲烷以及無法避免的雜質氣體。
如第4B圖所示,根據一些實施例,移除保護層180以及抗反射160的部分162。根據一些實施例,在移除製程後,抗反射層160露出薄膜150的一部分152。根據一些實施例,移除製程包括一乾蝕刻製程。
如第4C圖所示,根據一些實施例,使用遮罩層170以及抗反射層160做為一蝕刻遮罩,移除薄膜150的部分152。根據一些實施例,移除製程包括一乾蝕刻製程。根據一些實施例,在移除製程後,薄膜150露出閘極材料層140a的一部分142。
在移除製程後,由於遮罩層170與抗反射層160具有期望的寬度W1與W2,因此薄膜150具有期望的寬度W3。如第4A-4C圖所示,保護層180的形成可防止在抗反射層160與薄膜15的關鍵尺寸(critical dimension)(例如,寬度W2與W3)上的不期望發生的縮減。
如第4D圖所示,根據一些實施例,移除遮罩層170與抗反射層160。根據一些實施例,使用一乾蝕刻製程移除遮罩層170與抗反射層160。如第4D圖所示,根據一些實施例,使用薄膜150做為一蝕刻遮罩,移除閘極材料層140a的部分142。
根據一些實施例,在移除部分142的移除製程後,餘留下的閘極材料層140a形成閘極140。根據一些實施例,移除製程也移除位於部分142下的閘極介電層130的一部分。根據一些實施例,移除製程包括一乾蝕刻製程。
根據一些實施例,在移除製程後,由於薄膜150具有期望的寬度W3,因此閘極140具有期望的寬度W4。如第4A-4D圖所示,保護層180的形成可防止在抗反射層160、薄膜150與閘極140的關鍵尺寸(critical dimension)(例如,寬度W2、W3與W4)上的不期望發生的縮減。
如第4E圖所示,根據一些實施例,一間隔物層190a 形成於基底110、隔離層120、閘極介電層130、閘極140,以及薄膜150上。間隔物層190a包括一絕緣材料,例如氧化矽或氮化矽。根據一些實施例,使用一化學氣相沉積(CVD)製程形成間隔物層190a。
如第4F圖所示,根據一些實施例,實施一非等向性蝕刻製程,移除間隔物層190a的一部分。根據一些實施例,位於閘極介電層130、閘極140,以及薄膜150的側壁上的餘留下來間隔物層190a形成間隙壁190。根據一些實施例,配置間隙壁190將後續形成的一閘極與其他裝置電性隔離,並且在接下來的離子佈植製程中,將間隙壁190作為一遮罩層。根據一些實施例,非等向性蝕刻製程包括一乾蝕刻製程。
如第4G圖所示,根據一些實施例,於基底110中形成重摻雜區域114。根據一些實施例,使用一離子佈植製程形成重摻雜區域114。根據一些實施例,實施離子佈植製程將P型雜質(例如,硼)或N型雜質(例如,磷)導入基底110。
根據一些實施例,重摻雜區域114係一重摻雜源極區域以及一重摻雜汲極區域。根據一些實施例,重摻雜區域114位於閘極140的相對兩側。
根據一些實施例,提供一些形成半導體裝置結構之方法。於這些方法中,實施一電漿蝕刻與沉積製程以移除被第二遮罩層露出的第一遮罩層的一部份,以形成一保護層於第二遮罩層的一側壁上。保護層可在電漿蝕刻與沉積製程的過程中大體上使第二遮罩層維持一關鍵尺寸,因此,被蝕刻的第一遮罩層可具有一期望的關鍵尺寸。因此,這些方法可改善製程 良率。
根據一實施例,提供一種半導體裝置結構之形成方法。方法包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成一第二遮罩層於第一遮罩層上,且第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以移除第一遮罩層的第一部分以及形成一保護層於第二遮罩層的一第一側壁上,其中在電漿蝕刻與沉積製程之後,第一遮罩層露出薄膜的一第二部分,以及將第一遮罩層以及第二遮罩層作為一蝕刻遮罩以移除第二部分。
根據另一實施例,提供另一種半導體裝置結構之形成方法,包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成一第二遮罩層於第一遮罩層上,其中第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以移除第一遮罩層的第一部分,並且形成一保護層於第二遮罩層的一第一側壁上,其中在電漿蝕刻與沉積製程之後,第一遮罩層露出薄膜的一第二部分。移除該保護層,以及將第一遮罩層以及第二遮罩層作為一蝕刻遮罩以移除薄膜的第二部分。
根據又另一實施例,提供又另一種半導體裝置結構之形成方法,包括形成一薄膜於一基底上,形成一第一遮罩層於薄膜上,形成一第二遮罩層於第一遮罩層上,其中第二遮罩層露出第一遮罩層的一第一部分。實施一電漿蝕刻與沉積製程以薄化第一遮罩層的第一部分,並且形成一保護層於第二遮罩層的一第一側壁上,移除保護層以及第一遮罩層的第一部分,其中在移除第一遮罩層的第一部份後,第一遮罩層露出薄膜的 一第二部分。將第一遮罩層以及第二遮罩層作為一蝕刻遮罩以移除薄膜的第二部分。
以上概略說明了本揭露數個實施例的特徵部件,使所屬技術領域中具有通常知識者對於後續本揭露的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
110‧‧‧基底
114‧‧‧重摻雜區域
120‧‧‧隔離結構
130‧‧‧閘極介電層
140‧‧‧閘極
150‧‧‧薄膜
190‧‧‧間隙壁

Claims (10)

  1. 一種半導體裝置結構之形成方法,包括:形成一薄膜於一基底上;形成一第一遮罩層於該薄膜上;形成一第二遮罩層於該第一遮罩層上,其中該第二遮罩層露出該第一遮罩層的一第一部分;實施一電漿蝕刻與沉積製程以移除該第一遮罩層的該第一部分,並且形成一保護層於該第二遮罩層的一第一側壁上,其中在該電漿蝕刻與沉積製程之後,該第一遮罩層露出該薄膜的一第二部分;以及以該第一遮罩層及該第二遮罩層為一蝕刻遮罩移除該第二部分。
  2. 如申請專利範圍第1項所述之半導體裝置結構之形成方法,其中該第一遮罩層包括一抗反射層,且該第二遮罩層包括一光阻層。
  3. 如申請專利範圍第1項所述之半導體裝置結構之形成方法,其中該保護層覆蓋該第一遮罩層的一第二側壁。
  4. 如申請專利範圍第1項所述之半導體裝置結構之形成方法,其中該電漿蝕刻與沉積製程使用包括三氟甲烷、二氟甲烷、氟甲烷,或其組合之製程氣體。
  5. 如申請專利範圍第1項所述之半導體裝置結構之形成方法,其中於該電漿蝕刻與沉積製程後,該第一遮罩層的一第一寬度大於該第二遮罩層的一第二寬度。
  6. 如申請專利範圍第1項所述之半導體裝置結構之形成方法, 其中該保護層連續地圍繞該第一遮罩層與該第二遮罩層。
  7. 一種半導體裝置結構之形成方法,包括:形成一薄膜於一基底上;形成一第一遮罩層於該薄膜上;形成一第二遮罩層於該第一遮罩層上,其中該第二遮罩層露出該第一遮罩層的一第一部分;實施一電漿蝕刻與沉積製程以移除該第一遮罩層的該第一部分,並且形成一保護層於該第二遮罩層的一第一側壁上,其中在該電漿蝕刻與沉積製程之後,該第一遮罩層露出該薄膜的一第二部分;移除該保護層;以及以該第一遮罩層及該第二遮罩層為一蝕刻遮罩移除該薄膜的該第二部分。
  8. 如申請專利範圍第7項所述之半導體裝置結構之形成方法,更包括:在形成該薄膜前,形成一半導體層於該基底上,其中該薄膜形成於該半導體層上;以及在移除該薄膜的該第二部分後,移除被該薄膜露出的該半導體層。
  9. 一種半導體裝置結構之形成方法,包括:形成一薄膜於一基底上;形成一第一遮罩層於該薄膜上;形成一第二遮罩層於該第一遮罩層上,其中該第二遮罩層露出該第一遮罩層的一第一部分; 實施一電漿蝕刻與沉積製程以薄化該第一遮罩層的該第一部分,並且形成一保護層於該第二遮罩層的一第一側壁上;移除該保護層以及該第一遮罩層的該第一部分,其中在移除該第一遮罩層的該第一部份後,該第一遮罩層露出該薄膜的一第二部分;以及以該第一遮罩層及該第二遮罩層為一蝕刻遮罩移除該薄膜的該第二部分。
  10. 如申請專利範圍第9項所述之半導體裝置結構之形成方法,其中該第一遮罩層更具有位於該第二遮罩層下的一第三部分,並且於該電漿蝕刻與沉積製程後該第三部分突出自薄化後的該第一部分的一上表面。
TW104141053A 2015-09-30 2015-12-08 半導體裝置結構之形成方法 TWI593105B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/871,256 US9583356B1 (en) 2015-09-30 2015-09-30 Method for forming semiconductor device structure

Publications (2)

Publication Number Publication Date
TW201712867A TW201712867A (zh) 2017-04-01
TWI593105B true TWI593105B (zh) 2017-07-21

Family

ID=58056907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104141053A TWI593105B (zh) 2015-09-30 2015-12-08 半導體裝置結構之形成方法

Country Status (5)

Country Link
US (3) US9583356B1 (zh)
KR (1) KR101831037B1 (zh)
CN (1) CN106558478B (zh)
DE (1) DE102015117230B4 (zh)
TW (1) TWI593105B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583356B1 (en) * 2015-09-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US9825185B1 (en) * 2016-12-19 2017-11-21 Globalfoudnries Singapore Pte. Ltd. Integrated circuits and methods for fabricating integrated circuits with non-volatile memory structures
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US11069740B2 (en) 2019-02-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor grid and method of manufacturing same
US11336246B1 (en) 2021-03-25 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Amplifier circuit

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05235338A (ja) 1992-02-24 1993-09-10 Matsushita Electron Corp 半導体装置およびその製造方法
JPH0786244A (ja) 1993-09-13 1995-03-31 Sony Corp ドライエッチング方法
JP3318801B2 (ja) 1993-12-29 2002-08-26 ソニー株式会社 ドライエッチング方法
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5866448A (en) * 1997-07-30 1999-02-02 Chartered Semiconductor Manufacturing Ltd. Procedure for forming a lightly-doped-drain structure using polymer layer
KR100287182B1 (ko) * 1998-10-20 2001-04-16 윤종용 반도체장치의소자분리막형성방법
KR20020006364A (ko) * 2000-07-12 2002-01-19 윤종용 이중 식각 마스크막을 이용한 반도체 소자의 고유전체커패시터 제조방법
US6774044B2 (en) 2002-01-14 2004-08-10 Taiwan Semiconductor Manufacturing Co., Ltd Reducing photoresist shrinkage via plasma treatment
US6955964B2 (en) 2003-11-05 2005-10-18 Promos Technologies, Inc. Formation of a double gate structure
US7687407B2 (en) 2004-12-02 2010-03-30 Texas Instruments Incorporated Method for reducing line edge roughness for conductive features
US7592265B2 (en) 2007-01-04 2009-09-22 United Microelectronics Corp. Method of trimming a hard mask layer, method for fabricating a gate in a MOS transistor, and a stack for fabricating a gate in a MOS transistor
US7858270B2 (en) * 2007-05-21 2010-12-28 Tokyo Electron Limited Method for etching using a multi-layer mask
KR100949265B1 (ko) * 2008-04-01 2010-03-25 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR101468028B1 (ko) * 2008-06-17 2014-12-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
DE102010064289B4 (de) 2010-12-28 2019-06-19 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
US9059250B2 (en) 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US20150318287A1 (en) 2012-12-14 2015-11-05 Kenichi Sugino Semiconductor device, and manufacturing for same
US9583356B1 (en) * 2015-09-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure

Also Published As

Publication number Publication date
US20170170024A1 (en) 2017-06-15
KR20170038617A (ko) 2017-04-07
CN106558478B (zh) 2019-06-11
US9583356B1 (en) 2017-02-28
US10163646B2 (en) 2018-12-25
DE102015117230A1 (de) 2017-03-30
TW201712867A (zh) 2017-04-01
CN106558478A (zh) 2017-04-05
KR101831037B1 (ko) 2018-02-21
US20190115222A1 (en) 2019-04-18
US10665466B2 (en) 2020-05-26
DE102015117230B4 (de) 2023-09-28

Similar Documents

Publication Publication Date Title
US10749014B2 (en) Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
KR101782218B1 (ko) 반도체 디바이스 구조물 및 반도체 디바이스 구조물의 형성 방법
KR101785803B1 (ko) 반도체 디바이스 구조체의 형성 방법
US12027625B2 (en) Semiconductor device having fins and method of fabricating the same
TWI713089B (zh) 積體電路結構的形成方法
TWI593105B (zh) 半導體裝置結構之形成方法
TWI600117B (zh) 用於互連的結構和方法
US10777455B2 (en) Multi-etching process for forming via opening in semiconductor device structure
CN107346759B (zh) 半导体结构及其制造方法
TW201732931A (zh) 半導體結構與其製造方法
US20210343599A1 (en) FINFET Device with Wrapped-Around Epitaxial Structure and Manufacturing Method Thereof
US10276378B1 (en) Method of forming funnel-like opening for semiconductor device structure
US9728407B2 (en) Method of forming features with various dimensions
CN111199880B (zh) 一种半导体器件的制造方法和半导体器件
TWI609457B (zh) 形成接觸洞的方法與具有接觸插塞的半導體結構
CN110571190B (zh) 接触插塞的形成方法和刻蚀方法
CN108807267B (zh) 半导体装置及其制造方法
JP2010205971A (ja) 半導体装置の製造方法
KR20060113281A (ko) 반도체소자의 제조방법
JP2011198882A (ja) 半導体装置の製造方法