TWI533153B - 用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物 - Google Patents

用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物

Info

Publication number
TWI533153B
TWI533153B TW100126108A TW100126108A TWI533153B TW I533153 B TWI533153 B TW I533153B TW 100126108 A TW100126108 A TW 100126108A TW 100126108 A TW100126108 A TW 100126108A TW I533153 B TWI533153 B TW I533153B
Authority
TW
Taiwan
Prior art keywords
design
electrical
computer
manipulation
response
Prior art date
Application number
TW100126108A
Other languages
English (en)
Other versions
TW201218003A (en
Inventor
艾德 費雪
大衛 懷特
麥可 麥雪利
布魯斯 柳田
Original Assignee
卡登斯系統設計公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 卡登斯系統設計公司 filed Critical 卡登斯系統設計公司
Publication of TW201218003A publication Critical patent/TW201218003A/zh
Application granted granted Critical
Publication of TWI533153B publication Critical patent/TWI533153B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Description

用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物
習知電子電路設計工具集通常包含一些示意圖級工具(諸如,示意圖編輯器、一或多個模擬器等)及一些實體級工具(諸如,佈局編輯器、實體驗證工具、一或多個實體級模擬器等)。此等工具可具有其各自的使用者介面,諸如,圖形使用者介面(GUI)。設計者常需要在幾個使用者介面間往返以在實施電子電路設計之同時獲得所要的或必要的資訊或資料。舉例而言,設計者可能需要使用實體驗證工具執行對電子電路佈局之一些驗證,且接著返回至佈局工具以觀測或操縱該佈局以便查看該佈局是否通過驗證。
因此,存在對在設計具有電感知之電子電路中提供在原位可定製資訊之需要。
本發明呈現用於在設計一具有電感知之電子電路中提供可定製資訊之方法、系統及電腦程式產品。本發明在設計具有電感知之電子電路中提供在原位可定製資訊。
在一實施例中,在設計一具有電感知之電子電路中提供可定製資訊涉及使用至少一處理器執行一程序,該至少一處理器經程式化以用於執行該程序,該程序包含:在一顯示器裝置上的一使用者介面之一第一顯示部分中顯示一實體設計之一部分;接收對該實體設計之該部分之一操縱;及判定且在該第一顯示部分中顯示對該操縱之一回應。
當該程序進一步包含顯示關於受到該操縱影響的該電設計之該部分或該實體設計之另一元件之一電寄生或一電特性的一或多個結果時,可實現額外目標及優勢。
當判定且顯示該回應之動作係在該使用者介面與之整合之一電子電路實體設計工具內在原位執行時,可實現額外目標及優勢。
當在無需一使用者離開該使用者介面之情況下執行判定且顯示該回應之該動作時,可實現額外目標及優勢。
當在該第一顯示部分中實質上即時地顯示該回應時,可實現額外目標及優勢。
當判定且顯示該回應之該動作包含下列動作時,可實現額外目標及優勢:將該實體設計之該部分中之複數個組件或與該複數個組件有關之資料分群成複數個群組;識別一具有複數個視覺效應之熱圖;及藉由使用具有該複數個視覺效應之該熱圖顯示該回應。
當該操縱包含改變該實體設計中的一組件之一特性時,可實現額外目標及優勢。
當該操縱包含在該第一顯示部分中或在該使用者介面之另一顯示部分中識別該實體設計之該部分中的一組件或與該組件有關的一資料項目時,可實現額外目標及優勢。
當該回應包含驗證一電約束、一電寄生、在該實體設計中的一組件之實體資料之一項目或一或多個電分析之一結果時,可實現額外目標及優勢。該等電分析可包含一電遷移分析或一IR下降分析。
當該程序進一步包含判定且在該顯示器裝置中顯示一回應於該操縱之提示時,可實現額外目標及優勢。
當該程序由該至少一處理器在原位執行時,可實現額外目標及優勢。
當在設計一具有電感知之電子電路中提供可定製資訊進一步包含判定或顯示相對於對一實體設計物件之互動式操縱的一電特性且將該特性與一極限或約束比較時,可實現額外目標及優勢。
當在設計一具有電感知之電子電路中提供可定製資訊進一步包含下列動作時,可實現額外目標及優勢:將該特性與一極限或約束比較;及判定或顯示一特定電特性已關於一極限或約束消耗之一預算或百分比。
本發明包含一種用於在設計具有電感知之電子電路中提供可定製資訊之方法、系統及電腦程式產品。在一單一實施例中或在一些實施例中,用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統包含用於在一使用者介面之一第一顯示部分中顯示一實體設計之一部分之程序或模組。該方法或該系統可進一步包含用於接收對該實體設計之該部分的一操縱之程序或模組,其中該操縱可由一使用者/設計者或自該電子電路設計工具集中之任何工具實行。
在一單一實施例中或在一些實施例中,該方法或該系統可進一步包含用於在該使用者介面之該第一顯示部分中回應於該操縱顯示一由在該電子電路設計工具集中之一工具產生的回應之程序或模組。在一單一實施例中或在一些實施例中,該方法或該系統亦可包含用於在該使用者介面之該第一顯示部分或該使用者介面之另一部分中顯示關於受到該操縱影響的該電子電路設計之該部分或該電子電路設計之任何其他元件之寄生、電特性或實體資料之一或多個結果。在一些實施例中,該使用者介面包含一實體域電子電路設計工具之一圖形使用者介面。
圖式說明本發明之各種實施例之設計及效用。應注意,該等圖未按比例繪製,且貫穿該等圖,類似結構或功能之元件由同樣的參考數字表示。為了更好地瞭解如何獲得本發明之各種實施例的以上列舉及其他優勢及目標,將參照本發明之特定實施例呈現以上簡要描述的本發明之較詳細描述,該等特定實施例說明於隨附圖式中。理解此等圖式僅描繪了本發明之典型實施例且因此不應被認為限制其範疇,將經由使用隨附圖式以額外特定性及細節來描述及解釋本發明。
本發明之各種實施例係針對在一單一實施例中或在一些實施例中的一種用於在設計具有電感知之電子電路中提供在原位可定製資訊之方法、系統及製造物。在實施方式、圖及申請專利範圍中描述了本發明之其他目標、特徵及優勢。
現將參看圖式詳細描述各種實施例,該等圖式係作為本發明之說明性實例提供,以便使熟習此項技術者能夠實踐本發明。值得注意地,該等圖及以下實例並不意謂限制本發明之各種實施例之範疇。在可使用已知組件(或方法或程序)部分或全部實施本發明之一些實施例之某些要素之情況下,將僅描述對於本發明之理解為必要或需要的此等已知組件(或方法或程序)之彼等部分,且將省略此等已知組件(或方法或程序)之其他部分之詳細描述,以便不使本發明晦澀難懂。另外,本發明之各種實施例涵蓋藉由說明在本文中提及之組件的目前及未來已知等效物。
參看圖1A(其說明用於實施用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統的各種實施例之最高層級系統圖),該系統包含與一或多個實體域電子電路設計工具120經由至少一使用者介面140介面連接之一或多個使用者終端機或計算節點118。該一或多個實體域電子電路設計工具120進一步與儲存系統、器件或媒體116互動,該儲存系統、器件或媒體116儲存各種資訊或資料,諸如,在各種抽象層處之平面或階層式電子電路設計、程式庫114、各種分析結果或資料等。
在一單一實施例中或在一些實施例中,一或多個實體域工具包含用於在第一顯示部分中顯示實體設計之一部分之模組152。一或多個實體域工具可進一步包含用於接收對電子電路設計之部分的操縱之模組154(在一些實施例中)及用於回應於操縱而顯示電子電路設計工具集(其包含該一或多個實體域工具)中的工具中之至少一者之回應之模組156。在一些實施例中,操縱包含來自使用者/設計者或來自電子電路設計工具集中之一或多個工具的對電子電路之部分的操縱。在一些實施例中,電子電路設計工具集包含一或多個示意圖級工具,諸如,示意圖編輯器或一或多個示意圖級模擬器。
應注意,模組152、154、156及158中之任何模組可實施於硬體電路、軟體或其組合中。在將模組中之一者實施為軟體之一些實施例中,軟體將與如圖4中說明之計算系統中的組件中之一些或全部互動以執行其意欲之功能。
參看圖1B(其說明用於實施用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統的各種實施例之最高層級系統圖),該系統包含一或多個使用者終端機或計算節點118,其經由至少一使用者介面140而與示意圖域124中之一或多個示意圖處理模組102及實體域120中之一或多個實體域電子電路設計工具介面連接。在一些實施例中,示意圖處理模組可包含(例如)一示意圖編輯器或一示意圖模擬器。在一或多個實施例中,一或多個實體域電子電路設計工具120進一步與儲存系統、器件或媒體116互動,該儲存系統、器件或媒體116儲存各種資訊或資料,諸如,在各種抽象層處之平面或階層式電子電路設計、程式庫114、各種分析結果或資料等。
在一些實施例中,一或多個實體域電子電路設計工具120可進一步與佈局後域122中之佈局後驗證模組112(其包含(例如)設計規則檢查(DRC)工具、實體驗證工具等)互動,在佈局後域122中,此等佈局後域工具藉由電子電路之完整佈局執行其意欲之功能。
在圖1C中展示之一些實施例中,將由佈局後驗證模組提供之功能直接建置至互動基礎結構內。在此模式下,在添加每一實體設計物件時使示意圖與佈局同步的繫結器漸增地執行LVS 109(佈局對示意圖),使得不需要驗證後LVS。類似地,在此模式下,可當建立實體設計物件時漸增地完成設計規則檢查(DRC)111(IDRC)。在此實施例中,完成之佈局符合對於驗證所需之設計及可製造性規則。
一或多個實體域電子電路設計工具120可包含(例如)一實體資料處理模組104,其以硬體電路、軟體或其組合組態或經程式化以用於執行識別、判定或更新電子電路之完整或不完整實體設計的網、器件或組件之實體資料。
一或多個實體域電子電路設計工具120亦可包含一電寄生特性化模組106,其以硬體電路、軟體或其組合組態或經程式化以用於執行特性化與實體資料相關聯之一或多個電寄生。
一或多個實體域電子電路設計工具120亦可包含一電特性特性化模組108,其以硬體電路、軟體或其組合組態或經程式化以用於執行特性化與一或多個電寄生及實體資料相關聯之一或多個電特性。
一或多個實體域電子電路設計工具120亦可包含一實體資料或有關資料驗證模組110,其以硬體電路、軟體或其組合組態或經程式化以用於對一或多個寄生、一或多個電特性或實體資料執行各種驗證。
應注意,模組102、104、106、108、110及112中之任何模組可實施於硬體電路、軟體或其組合中。在將模組中之一者實施為軟體之一些實施例中,軟體將與如圖4中說明之計算系統中的組件中之一些或全部互動以執行其意欲之功能。
參看圖2(其說明用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統之最高層級圖),在一單一實施例中或在一些實施例中,該方法或該系統可包含用於在使用者介面之第一顯示部分中顯示實體設計之一部分之一程序或一模組202。在一些實施例中,該使用者介面包含一實體域電子電路設計工具之一圖形使用者介面。在一些實施例中,實體域電子電路設計工具之一實例包含一電子佈局編輯器。
在一單一實施例中或在一些實施例中,該方法或該系統可進一步包含一用於識別或接收對實體設計之部分之操縱之程序或模組204。在一些實施例中,操縱可由使用者/設計者或由電子電路設計工具集中之任一工具實行,電子電路設計工具集可包含(例如)一些示意圖域工具、諸如路由器之一些實體域工具或需要電子電路設計之完整佈局而非部分佈局的一些佈局後工具。在一些實施例中,佈局後工具之一些實例包含(例如,但不限於)LVS工具、實體/形式驗證工具、佈局後最佳化工具或簽出工具。
操縱可包含(例如)使用者藉由使用使用者介面或指標器件對實體設計中所關心之區的識別;實體資料、電特性或電子電路設計中之其他有關項目之改變;在實體、選單項目、網、器件或組件(下文,組件)或整個顯示區中之任何其他項目上的點選或移動游標至實體、選單項目、網、器件或組件(下文,組件)或整個顯示區中之任何其他項目上;或與實體設計相關聯之任何命令或指令。應注意,在一或多個電子電路設計工具中,操縱亦可指經執行或待執行之任何操作(由系統自動執行或受到使用者輔助)。
在一單一實施例中或在一些實施例中,該方法或系統可進一步包含一用於在亦顯示實體設計之部分的第一顯示部分中顯示對電子電路設計之部分之操縱的回應之程序或模組206。在一些實施例中,可將該回應覆疊於第一顯示部分中的實體設計之部分之頂部上。在一些實施例中,可將回應實施為使用者介面之第一顯示部分或其他部分中之快顯視窗。在一些實施例中,顯示對操縱的回應包含對操縱之在原位回應。在此等實施例中,藉由(例如)離開一工具而去往另一工具以便產生或造成產生回應,大體上在不中斷各種電子電路設計工具之狀態的情況下發生對操縱的回應之顯示。在一些實施例中,實質上即時地發生對操縱的回應之顯示。然而,應注意,操縱可觸發一或多個程序或可調用一或多個模組來執行各種功能以便產生對操縱之回應,且一或多個程序之觸發或各種模組之調用可花費某些時間來完成。結果,實質上即時地發生回應之顯示。
在一單一實施例中或在一些實施例中,該方法或系統可進一步包含用於顯示關於實體設計之部分之網、器件或組件的實體資料、與實體資料相關聯之電寄生、電特性、與實體資料或電寄生相關聯之電特性或實體設計之受到操縱影響的其他元件之一或多個結果之一程序或模組208。
圖3說明在一些實施例中的關於用於在設計具有電感知之電子電路中提供可定製資訊之使用者介面中的一些項目之較多細節。在一些實施例中,圖3說明使用者介面之組件中之一些。舉例而言,如圖3中說明之使用者介面可包含GUI選單項目302、實體設計顯示區之第一顯示部分306、用於EAD(電感知設計)瀏覽器之第二顯示區或部分(共同地稱為部分)304或用於顯示針對一或多個約束驗證結果或順應性檢查結果之各種提示、建議或推薦或用於自動或輔助式修正或若-則分析之介面或第三顯示部分308。
在一些實施例中,使用者介面包含一實體設計顯示部分內容控制,其可用以控制或定製可在實體設計顯示部分306中顯示各種資訊或資料之方式。舉例而言,在一些實施例中,實體設計顯示部分內容控制可用以選擇是否要顯示實體設計之某些層、網、組件、階層層級、實體資料、電特性、電寄生、與實體設計相關聯之其他資料等、各種實體的顯示外觀(諸如,將使用何色彩在實體設計顯示部分中顯示各種資訊或資料等)。
在一些實施例中,使用者介面將一或多個互動式設計編輯功能提供至使用者。在一些實施例中,使用者介面包含供使用者導覽與實體設計或其一部分之各種網、器件或組件(下文,組件)相關聯的要素的一或多個樹狀結構之導覽器。舉例而言,導覽器可在具有多個分支之可摺疊樹狀結構中提供用於實體設計之一給定部分的個別組件資訊或資料,該多個分支中之每一者可包含待顯示的資料或資訊之一或多個可摺疊層。在一些實施例中,使用者介面包含實體資料、與實體資料相關聯之電寄生、與實體資料或電寄生相關聯之電特性或實體設計或其一部分的其他有關資料之顯示。
在一些實施例中,使用者介面包含實體、寄生或電約束驗證或順應性檢查之一或多個結果之顯示。在一些實施例中,一或多個結果之此顯示亦可包含將可定製熱圖用於各種結果。舉例而言,可按第一色彩、第一線類型或第一形狀紋理展示對約束或設計規則之任何違反;可按第二色彩、第二線類型或第二形狀紋理顯示其寄生、實體資料、電特性或其他資料處於未達到違反之程度但可造成關注或其他問題之位準處的任何組件;可按第三色彩、第三線類型或第三形狀紋理顯示符合各種約束、設計規則或要求之任何組件。
在一些實施例中,使用者介面進一步顯示用於顯示之圖例,因此,使用者可理解熱顯示傳遞何資訊。在一些實施例中,可按使得使用者可易於認出實體顯示部分中之關注區的方式定製熱圖。舉例而言,使用者可定製熱圖顯示以將色彩紅色用於對必須滿足的約束或硬設計規則之任何違反及將白色用於其餘實體設計。在此實例中,使用者可易於認出實體設計顯示部分中的呈紅色之違反。
在一些實施例中,實體設計顯示部分可經組態以提供對由使用者、一或多個電子電路設計工具或其組合實行之操縱的在原位及/或即時回應。在一些實施例中,實體設計顯示部分亦可經組態以回應於對所關心之特定組件之操縱而顯示關於所關心之此特定組件的電寄生或電特性之特性化之一或多個結果。實體設計顯示部分可進一步經組態以顯示關於實體設計之受到對特定組件之操縱影響的其他元件之電寄生或電特性之特性化之一或多個結果。
圖4說明適合於實施如在先前段落中參看各個圖描述的用於在設計具有電感知之電子電路中提供在原位可定製資訊之方法或系統之一些實施例的說明性計算系統400之方塊圖。電腦系統400包括一匯流排406或用於傳達資訊之其他通信機構,其互連子系統及器件,諸如,處理器407、系統記憶體408(例如,RAM)、靜態儲存器件409(例如,ROM)、碟機410(例如,磁性或光學)、通信介面414(例如,數據機或乙太網路卡)、顯示器411(例如,CRT或LCD)、輸入器件412(例如,鍵盤)及游標控制(未圖示)。
根據一實施例,電腦系統400藉由執行系統記憶體408中含有之一或多個指令的一或多個序列的一或多個處理器或處理器核心407來執行特定操作。可自另一電腦可讀/可用儲存媒體(諸如,靜態儲存器件409或碟機410)將此等指令讀取至系統記憶體408內。在替代實施例中,可代替軟體指令或與軟體指令結合使用硬連線電路來實施本發明。因此,本發明之實施例不限於硬體電路及/或軟體之任一特定組合。在一實施例中,術語「邏輯」應意謂用以實施本發明之全部或部分的軟體或硬體之任一組合。
可藉由使用一或多個處理器、一或多個處理器核心或其組合407來執行如在先前段落中描述之各種動作或程序,其中該一或多個處理器、一或多個處理器核心或其組合執行一或多個線緒。舉例而言,指定各種網或端子集合之動作或執行驗證或模擬之動作或模組等可由一或多個處理器、一或多個處理器核心或其組合執行。
如在本文中使用之術語「電腦可讀儲存媒體」或「電腦可用儲存媒體」指參與將指令提供至處理器407供執行之任一媒體。此媒體可呈許多形式,包括(但不限於)非揮發性媒體及揮發性媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如,碟機410。揮發性媒體包括動態記憶體,諸如,系統記憶體408。
電腦可讀儲存媒體之普通形式包括(例如)機電碟機(諸如,軟性磁碟、可撓性碟或硬碟);基於快閃、基於RAM(諸如,SRAM、DRAM、SDRAM、DDR、MRAM等)或任何其他固態碟機(SSD);磁帶;任一其他磁性或磁光媒體;CD-ROM;任一其他光學媒體;具有孔之圖案的任一其他實體媒體;RAM;PROM;EPROM;FLASH-EPROM;任一其他記憶體晶片或卡匣;或電腦可自其讀取之任一其他媒體。
在本發明之一實施例中,用以實踐本發明的指令序列之執行由一單一電腦系統400執行。根據本發明之其他實施例,由通信鏈路415(例如,LAN、PTSN或無線網路)耦接之兩個或兩個以上電腦系統400可相互合作地執行實踐本發明所需的指令序列。
電腦系統400可經由通信鏈路415及通信介面414傳輸及接收訊息、資料及指令(包括程式,亦即,應用程式碼)。接收之程式碼可由處理器407在其經接收時執行,及/或儲存於碟機410或其他非揮發性儲存器中以用於稍後執行。在一實施例中,電腦系統400與資料儲存系統431(例如,含有一可易於由電腦系統400存取之資料庫432的資料儲存系統431)協同操作。電腦系統400與資料儲存系統431經由資料介面433通信。耦接至匯流排406之資料介面433傳輸且接收電、電磁或光學信號,該等信號包括表示各種類型之信號資訊(例如,指令、訊息及資料)的資料串流。在本發明之實施例中,資料介面433之功能可由通信介面414執行。
圖5至圖27說明各種使用者介面螢幕擷取畫面以示範用於在設計具有電感知之電子電路中提供在原位、即時、可定製資訊之各種功能性。更特定言之,圖5說明在使用者介面之實體設計顯示部分502中的佈局504及各種選單項目506之一部分。圖6說明一實體設計顯示部分內容控制對話方塊602,在對話方塊602處,使用者可選擇(例如)是否將展示資訊氣球或是否將展示量測顯示。控制對話方塊602亦提供用於實體設計顯示部分之可定製內容。舉例而言,使用者可選擇是否顯示組件之某些實體資料(例如,X長度、Y長度、寬度、面積、長度、組件之標籤、周長、半徑等)。使用者亦可定製顯示以展示電容(例如,一網之總電容、一網與另一網之間的耦合電容等)、電阻(例如,一網之總電阻等)或其他電寄生。
圖7說明一使用者介面,其中在佈局編輯器之實體設計顯示部分中展示實體設計之一部分之一些實體組件。更特定言之,圖7中說明之使用者介面在對話方塊706中進一步展示電寄生之清單,該對話方塊706列出了(例如且尤其)電阻器之可摺疊樹狀結構。電阻器之可摺疊寄生樹狀結構列出了在第一級處之複數個電阻器,且可進一步展開在可摺疊寄生樹狀結構下列出的每一電阻器以展示進一步的資訊。
此外,可摺疊寄生樹狀結構與可包含(例如,但不限於)實體設計之部分中的電阻器之總數的樹狀結構之概述相關聯。此外,在可摺疊寄生樹狀結構中列出的每一電阻器亦與包含(例如,但不限於)特定電阻器之電阻值的概述相關聯。此外,每一電阻器可與其他資訊相關聯。舉例而言,特定電阻器可與諸如材料、長度、寬度、溫度、位置等之實體資料相關聯,使得使用者或系統可藉由改變實體資料來操縱電阻器。舉例而言,在電遷移分析經執行且發現經由特定電線區段之最大電流超過最大容許極限之一些實施例中,系統或使用者可藉由(例如)拖曳電線704以增大其寬度或改變寬度值而更改電線區段之寬度以符合最大容許電流極限來基於由系統提供之提示或推薦執行電遷移違反之自動或輔助式修正。
在一些實施例中,當使用者在特定電阻器702上點選或移動使用者介面游標(例如,滑鼠游標)於特定電阻器702上時,此特定電阻器變得醒目提示(例如,呈紅色)且對應的組件或其一部分亦經醒目提示(例如,呈白色,如在實體設計顯示部分中所示)。此外,可展示電阻器符號704,同時提供用於此特定電阻器之連接性資訊。在一些實施例中,使用者可在實體設計顯示部分中之特定組件或其一部分704上點選或移動使用者介面游標於特定組件或其一部分704上,且在可摺疊寄生樹狀結構中之對應電阻器可被展示為醒目提示的(例如,呈紅色)。以此方式,使用者可易於識別或操縱佈局,甚至直至個別組件層級。
圖8說明展示實體設計顯示部分中的實體設計之一部分之一使用者介面,在該使用者介面處,使用者界定一所關心之區802。圖9說明圖8中的所關心之區之放大視圖。圖9進一步說明使用者在組件902上點選或移動使用者介面游標於組件902上,及系統藉由展示呈交叉影線圖案之組件、組件之路徑、組件屬於的網之名稱的對實體設計之使用者之操縱的自動回應904。圖9亦說明系統藉由展示一些電寄生(例如,與組件相關聯之網總電容及網總電阻)的對實體設計之使用者之操縱的自動回應。
圖10亦說明圖8中的所關心之區之放大視圖。類似於圖9,圖10亦說明使用者在組件1002上點選或移動使用者介面游標於組件1002上,及系統藉由展示呈交叉影線圖案的組件、組件之路徑、組件屬於的網之名稱的對實體設計之使用者之操縱的自動回應1004。圖10亦說明系統藉由展示一些電寄生(例如,與組件相關聯之網總電容及網總電阻)的對實體設計之使用者之操縱的自動回應。
圖11A至圖11B說明使用者對組件1102的選擇或識別及系統藉由展示寄生對話方塊的對實體設計之使用者之操縱的回應。圖12說明如在圖11B中展示的對話方塊之進一步細節。在一些實施例中,回應於實體設計之使用者之操縱的對話方塊進一步列出(例如,但不限於)總電容、網與相關聯之網的耦合電容、與相關聯之電容器的耦合電容、接地電容、節點之數目、具有最大電阻資訊之電阻路徑、及接腳至接腳路徑之數目、電阻器之數目、總電阻或與由使用者所選擇或所識別組件相關聯的端子之數目。如可自圖12看出,對話方塊在一或多個可摺疊樹狀結構中呈現資訊或資料,在該情況下,網之耦合電容、耦合電容、節點、電阻路徑、所有電阻器及端子中的每一者構成可摺疊樹狀結構。圖12亦說明使用者將使用者介面游標移動至「耦合電容」以點選「+」符號以展開樹狀結構。「耦合電容」樹狀結構的展開樹狀結構之結果展示於圖13中。
圖13說明圖12之「耦合電容」樹狀結構的展開樹狀結構之結果。此外,在一些實施例中,圖13說明耦合電容之一清單,其中之每一者可構成具有一或多個層級之可摺疊樹狀結構。圖13進一步展示當使用者展開一特定耦合電容1302時,實體設計顯示部分中之對應的組件1304被醒目提示(例如,呈白色)。如圖13中所示,此特定電容與具有該網之名稱的網、其他網、其他節點、來自節點及電容值之分析值(在此實例中,0.2097 fF)相關聯。
圖14進一步說明使用者是否在對話方塊中之「OtherNet」1402上點選或移動使用者介面游標於「OtherNet」1402上以展示哪一網造成與所關心之選定或識別之網1404的耦合電容之使用者介面之互動式特徵。如可自如在圖14中說明之此實例看出,系統醒目提示已造成與所關心之網1404之耦合電容的網1406。在此實例中,「OtherNet」包含一可摺疊樹狀結構,該可摺疊樹狀結構尚未被展開以展示已造成與所關心之網1404之耦合電容的個別網。結果,在實體設計顯示部分中醒目提示已造成與所關心之網之耦合電容的所有網。此外,應注意,在如在圖14中說明之實例中,實體設計顯示部分已被自動或輔助展開以展示已造成與所關心之網1404之耦合電容的網1406。
圖15進一步說明一與在圖12中之對話方塊類似的對話方塊,其說明如在圖11B中展示的對話方塊之進一步細節。此外,圖15展示使用者將使用者介面游標移動至「電阻路徑」以試圖展開用於「電阻路徑」之可摺疊樹狀結構。展開之「電阻路徑」說明於圖16中。圖16說明如圖15中展示之用於「電阻路徑」的可摺疊樹狀結構之內容之第一層級。更特定言之,圖16說明使用者在特定路徑1602上點選或移動使用者介面游標於特定路徑1602上。回應於使用者對實體設計的操縱,系統醒目提示(在此實例中,呈紅色)對話方塊中之路徑1602,醒目提示(在此實例中,呈白色)實體設計顯示部分中之路徑,且顯示具有沿著路徑在指示可捕獲電阻之處之位置處的複數個電阻器符號1604之路徑。在一些實施例中,使用者可類似地在實體設計顯示部分中之一特定組件上點選或移動使用者介面游標於一特定組件上。回應於使用者對實體設計的操縱,系統醒目提示實體設計顯示部分中之路徑,用一或多個電阻器符號表示路徑,且醒目提示對話方塊中之路徑。
圖17類似地說明如圖15中展示之用於「電阻路徑」的可摺疊樹狀結構之內容之第一層級。更特定言之,圖17說明使用者在特定路徑1702上點選或移動使用者介面游標於特定路徑1702上。回應於使用者對實體設計的操縱,系統醒目提示(在此實例中,呈紅色)對話方塊中之路徑1702,醒目提示(在此實例中,呈白色)實體設計顯示部分中之路徑,且顯示具有沿著路徑在指示可捕獲電阻之處之位置處的一電阻器符號1704之路徑。在一些實施例中,使用者可類似地在實體設計顯示部分中之一特定組件上點選或移動使用者介面游標於一特定組件上。回應於使用者對實體設計的操縱,系統醒目提示實體設計顯示部分中之路徑,用一或多個電阻器符號表示路徑,且醒目提示對話方塊中之路徑。
圖18類似地說明如圖15中展示之用於「電阻路徑」的可摺疊樹狀結構之內容之第一層級。更特定言之,圖18說明使用者在特定路徑1802上點選或移動使用者介面游標於特定路徑1802上。回應於使用者對實體設計的操縱,系統醒目提示(在此實例中,呈紅色)對話方塊中之路徑1802,醒目提示(在此實例中,呈白色)實體設計顯示部分中之路徑,且顯示具有沿著路徑在指示可捕獲電阻之處之位置處的一電阻器符號1804之路徑。在一些實施例中,使用者可類似地在實體設計顯示部分中之一特定組件上點選或移動使用者介面游標於一特定組件上。回應於使用者對實體設計的操縱,系統醒目提示實體設計顯示部分中之路徑,用一或多個電阻器符號表示路徑,且醒目提示對話方塊中之路徑。
圖19說明在一些實施例中的使用者介面之在原位、即時約束驗證或順應性檢查結果顯示能力。更明確而言,EAD瀏覽器顯示部分展示用於電遷移分析結果之一或多個可摺疊樹狀結構,該等結果中之一者指示存在五個違反且用紅色醒目提示。使用者介面之右側顯示實體設計之部分。圖20說明指示五個違反的可摺疊樹狀結構之展開圖。更明確而言,圖20在使用者介面之左側展示指示五個違反2002的可摺疊樹狀結構之內容之第一層級。如可自如在圖20中說明之實例看出,五個違反中之每一者與違反之簡要描述相關聯。在此實例中,將所有五個電阻器指示為違反,此係因為最大電流之百分比超過每一電阻器中的最大容許電流之100%。應進一步注意,可摺疊樹狀結構中的第一層級項目中之每一者可如先前論述進一步展開以為了互動目的顯示進一步資訊。圖20進一步展示經由其之各別最大電流超過最大容許電流之100%的電阻器皆按紅色展示;經由其之各別最大電流在(例如)最大容許電流之90%至100%之間的電阻器皆按橙色展示;且經由其之各別最大電流為最大容許電流之50%或以下的電阻器皆按藍色展示。應注意,攜載不同最大電流的各種電阻器之熱圖顯示未必按此色彩配置來顯示,且將以上熱圖用作一實例來解釋及說明使用者介面之能力。此外,圖20進一步展示給使用者提供改變特定組件之實體資料之能力的對話方塊。在此實例中,對話方塊給使用者呈現鍵入用於一特定電阻器之不同寬度值2004之選項。使用者可鍵入不同寬度值,且系統將自動判定鍵入之值是否遵守電遷移約束。在一些實施例中,系統亦可經由類似方式將提示、建議或推薦提供至使用者,以使得使用者可知曉如何修正違反。
圖21說明在一些實施例中的針對在使用者介面之EAD瀏覽器中之在原位即時約束驗證或順應性檢查(例如,電遷移分析)結果顯示能力的組件之可摺疊樹狀結構之展開圖。更特定言之,圖21展示使用者點選EAD瀏覽器中之一特定組件以將其內容展開至一或多個子層級,該等子層級中之一些可進一步展開(2102)。在此實例中,與組件2104相關聯之資訊或資料可包含組件之一些電寄生(例如,組件之電阻等)、組件之電特性(例如,電流、流過組件之最大電流)或組件之一些實體資料(例如,組件之繪製寬度、矽上寬度、繪製長度、組件之起始節點、組件之結束節點、組件屬於之層或網等),如在2102中所示。
圖21亦展示回應於使用者對實體設計的操縱,在使用者介面之實體設計顯示部分中之對應的組件經醒目提示(204)。圖21進一步說明在一些實施例中的由使用者介面提供之各種設計編輯功能。舉例而言,使用者介面給使用者提供選擇佈局、添加網路、判定系統將用實體資料、電寄生或電特性中之全部或一些更新實體設計之能力(2102)。作為另一實例,圖21進一步說明使用者介面將比較兩個或兩個以上組件(例如,網)之各種特性的選項提供至使用者。
圖22說明在一些實施例中的實體級電子電路設計工具之使用者介面之在原位、即時且互動式寄生顯示能力。在此實例中,使用者介面展示導致超過最大容許極限之總電容的組件之詳細資訊。在此實例中,在EAD瀏覽器中亦按紅色醒目提示違反,而按藍色展示不造成問題或難題之其餘資料或資訊。此外,回應於在2204中使用者展開展示寄生約束驗證結果之特定可摺疊樹狀結構,在實體設計顯示部分中醒目提示(例如,呈白色)與寄生約束驗證結果相關聯之組件2202,如在2202中所示。
在此實例中,EAD瀏覽器視窗進一步展示包含(例如,但不限於)總電容、按網之耦合電容、耦合電容、按層之電容、接地電容、節點、電阻路徑、電阻器之數目、端子之數目等之各種資料或資訊。此外,以上資訊或資料項目中之每一者與描述或描述值相關聯,且以上資訊或資料項目中之一些可進一步展開以顯示額外資訊或資料。
圖23說明包含複數個耦合電容的「耦合電容」項目之進一步展開圖,該等耦合電容中之一些或全部可進一步展開以展示額外資訊或資料。在此實例中,耦合電容經識別且按紅色醒目提示且與0.009701 fF之描述相關聯(如在2302中所示)。「耦合電容」可摺疊樹狀結構亦與電容之總數及總耦合電容之簡要描述相關聯。
此外,當在EAD瀏覽器視窗中識別一特定耦合電容時,造成耦合電容之對應的組件經醒目提示且由電容符號在使用者介面之實體設計顯示部分中表示(如在2304中所示)。
圖24說明在一些實施例中的進一步包含複數個路徑的「電阻路徑」項目之進一步展開圖,該等路徑中之一些或全部可進一步展開以展示額外資訊或資料。在此實例中,在EAD瀏覽器中按紅色識別、展開及醒目提示一特定路徑(例如,在2402中(未展示色彩))以展示關於此特定路徑之額外資訊。在此實例中,額外資訊包含路徑之電阻、路徑之來自節點及去往節點、沿著路徑識別的電阻器之數目或沿著路徑識別的節點之數目。在一些實施例中,本文中描述之方法或系統回應於在EAD瀏覽器(2402)中的「電阻路徑」之識別、展開或醒目提示,在GUI中自動展示用於電阻路徑(2404)之圖形顯示。在一些實施例中,本文中描述之方法或系統回應於在圖形顯示器(2402)(諸如,佈局編輯器)中的「電阻路徑」之識別、展開或醒目提示,在EAD瀏覽器(2402)中自動識別、展開或醒目提示對應的電阻路徑。應注意,此等額外條資訊中之一些或全部可進一步展開以展示甚至更多的資訊或資料。GUI亦允許使用者選擇兩個接腳,且接著顯示選定路徑之總電阻。
在此實例中,系統自動醒目提示對應於識別之路徑的組件,且回應於對特定路徑之識別,在捕獲或提取複數個電阻器之位置附近用此等電阻器符號表示路徑。
圖25說明在一些實施例中的在「電阻路徑」可摺疊樹狀結構中的一特定「路徑」項目下之「電阻器」項目之進一步展開圖。更特定言之,圖25說明一特定電阻器經識別且與1.207 Ω之電阻相關聯(如在2502中所示),且回應於對特定電阻器之識別,系統自動醒目提示對應的組件,且在實體設計顯示部分中在捕獲或提取電阻之位置附近用電阻器符號2504表示組件。
圖26說明在一些實施例中的使用者介面顯示複數個網之寄生比較結果之能力。使用者可藉由自「添加網路」下拉選單(2602)選擇網來添加網用於比較。在一些實施例中,使用者亦可藉由自「自動更新」下拉選單(2604)選擇更新方法來選擇是否要自動更新比較結果。
一旦識別了網,EAD瀏覽器便顯示每一網之寄生及網之對應寄生之比較結果。在如圖26中說明之此實例中,識別兩個網,且EAD瀏覽器展示網「outm」與「outp」之總電容及其間之差、耦合電容及差、接地電容及差,及總電阻及差。EAD瀏覽器進一步展示按層之總電容、按網之耦合電容及各別差。
圖27說明在一些實施例中的與實體設計工具中之一組件有關的各種資料或資訊之互動且在原位顯示。圖27說明網之繞線,其中當在建立期間操縱路線時,GUI提供即時寄生更新。圖27說明使用者藉由拖曳諸如佈局編輯器之實體設計工具中的2704之左端來操縱組件2704。圖27進一步展示佈局編輯器之使用者介面在原位且實質上即時地顯示各種資料或資訊2708。在此實例中,系統回應於使用者對實體設計組件的操縱(例如,拖曳組件2704)產生氣球資訊,且氣球資訊2708包含(例如)總電容、總電阻及組件屬於的網之名稱。
此外,回應於使用者對實體設計中的組件2704之操縱,系統預料使用者對組件2704的修改之端點,且判定且顯示開始於節點2706且結束於預料端點2710的飛行線2702。在一些實施例中,飛行線2702回應於使用者對組件2704的操縱變化。亦即,飛行線2702之端點2710基於使用者修改組件2704(藉由(例如)拖曳該組件)之方式而變化。此外,當使用者正修改組件時,系統自動特性化改變之實體資料、相關聯之電寄生及相關聯之電特性,執行各種約束或實體資料驗證,且回應於使用者介面之設定顯示各種資訊或資料。
假定網終止於當前界定實體物件之處,例如,在圖27中的電線2704之末端,與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件來計算電特性(電壓、電流、最大電流容量等)。
假定網終止於與飛行線相交之物件處,例如,圖27中之2710,與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件來計算電特性(電壓、電流、最大電流容量等)。
與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件計算電特性(電壓、電流、最大電流容量等),將彼特性與極限或約束比較,且計算/顯示關於極限或約束的所消耗百分比,假定網終止於當前界定實體物件之處,例如,在圖27中的電線2704之端部。
假定網終止於當前界定實體物件之處,例如,在圖27中的電線2704之末端,與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件計算電特性(電壓、電流、最大電流容量等),將彼特性與極限或約束比較,且計算/顯示相對於極限或約束之預算或剩餘。
假定網終止於與飛行線相交之物件處,例如,圖27中之2710,與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件計算電特性(電壓、電流、最大電流容量等),將彼特性與極限或約束比較,且計算/顯示關於極限或約束的所消耗百分比。
假定網終止於與飛行線相交之物件處,例如,圖27中之2710,與圖27中展示之互動式繞線實例有關的一些實施例亦可使用線性解算器組件計算電特性(電壓、電流、最大電流容量等),將彼特性與極限或約束比較,且計算/顯示相對於極限或約束之預算或剩餘。
可經由手動、自動或半自動或輔助繞線操作達成先前提到之實例中之互動式繞線。可顯示電特性比較之結果或亦可將其用以建議修改或自動修改實體設計以校正問題。
在如圖5至圖27中說明之一些實施例中,執行所有操作,且在同一使用者介面中判定且顯示所有資訊或資料,而無需使用者離開使用者介面相關聯於之電子電路設計工具。
在前述說明書中,已參照本發明之特定實施例描述了本發明。然而,將顯然,可在不脫離本發明之較寬精神及範疇的情況下對其進行各種修改及改變。舉例而言,參照程序動作之一特定排序來描述上述程序流程。然而,在不影響本發明之範疇或操作之情況下,可改變所描述之程序動作中之許多者的排序。因此,應按說明性而非限制性意義來看待說明書及圖式。
102...示意圖處理模組
104...實體資料處理模組
106...電寄生特性化模組
108...電特性特性化模組
109...漸增地執行LVS
110...實體資料或有關資料驗證模組
111...漸增地進行設計規則檢查(DRC)
112...佈局後驗證模組
114...程式庫
116...儲存系統、器件或媒體
118...使用者終端機或計算節點
120...實體域電子電路設計工具
122...佈局後域
124...示意圖域
140...使用者介面
152...用於在第一顯示部分中顯示實體設計之一部分之模組
154...用於接收對電子電路設計之部分的操縱之模組
156...用於回應於操縱顯示電子電路設計工具集中的工具中之至少一者之回應之模組
158...模組
202...用於在使用者介面之第一顯示部分中顯示實體設計之一部分之程序或模組
204...用於識別或接收對實體設計之部分之操縱之程序或模組
206...用於在亦顯示實體設計之部分的第一顯示部分中顯示對電子電路設計之部分之操縱的回應之程序或模組
208...用於顯示關於實體設計之部分之網、器件或組件的實體資料、與實體資料相關聯之電寄生、電特性、與實體資料或電寄生相關聯之電特性或實體設計之受到操縱影響的其他元件之一或多個結果之一程序或模組
302...GUI選單項目
304...第二顯示區或部分
306...第一顯示部分
308...第三顯示部分
400...計算系統/電腦系統
406...匯流排
407...處理器
408...系統記憶體
409...靜態儲存器件
410...碟機
411...顯示器
412...輸入器件
414...通信介面
415...通信鏈路
431...資料儲存系統
432...資料庫
433...資料介面
502...實體設計顯示部分
504...佈局
506...選單項目
602...實體設計顯示部分內容控制對話方塊
702...電阻器
704...電線/電阻器符號
706...對話方塊
802...所關心之區
902...組件
904...系統之自動回應
1002...組件
1004...系統之自動回應
1102...組件
1302...特定耦合電容
1304...組件
1402...「OtherNet」
1404...網
1406...網
1602...路徑
1604...電阻器符號
1702...路徑
1704...電阻器符號
1802...路徑
1804...電阻器符號
2002...違反
2004...寬度值
2102...子層級中之一些的進一步展開
2104...組件
2202...組件
2204...特定可摺疊樹狀結構之展開
2402...EAD瀏覽器/圖形顯示器
2404...電阻路徑
2504...電阻器符號
2602...「添加網路」下拉選單
2604...「自動更新」下拉選單
2702...飛行線
2704...組件/電線
2706...節點
2708...氣球資訊
2710...端點
圖1A至圖1C說明用於實施用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統的各種實施例之最高層級系統圖。
圖2說明用於在設計具有電感知之電子電路中提供可定製資訊之方法或系統之最高層級圖。
圖3說明在一些實施例中的關於用於在設計具有電感知之電子電路中提供可定製資訊之使用者介面中的一些項目之較多細節。
圖4說明可在其上實施具有並行處理模型之用於時序收斂之方法之電腦化系統。
圖5至圖10、圖11A至圖11B及圖12至圖27說明在一些實施例中的用於在設計具有電感知之電子電路中提供可定製資訊之使用者介面之較多細節。
202...用於在使用者介面之第一顯示部分中顯示實體設計之一部分之程序或模組
204...用於識別或接收對實體設計之部分之操縱之程序或模組
206...用於在亦顯示實體設計之部分的第一顯示部分中顯示對電子電路設計之部分之操縱的回應之程序或模組
208...用於顯示關於實體設計之部分之網、器件或組件的實體資料、與實體資料相關聯之電寄生、電特性、與實體資料或電寄生相關聯之電特性或實體設計之受到操縱影響的其他元件之一或多個結果之一程序或模組

Claims (16)

  1. 一種用於在設計具有電感知之一電子電路中提供可定製資訊之電腦實施方法,其包含:使用經程式化以用於執行一程序之至少一處理器,該程序包含:在一顯示器裝置上之一使用者介面之一第一顯示部分中顯示一實體設計之一部分;接收對該實體設計之該部分之一操縱;及藉由在該操縱完成之前至少預料該操縱如何影響該實體設計以判定對該操縱之回應且在該使用者介面之該第一顯示部分中顯示該回應之一第一部分及在該使用者介面之一瀏覽器部分中顯示該回應之一第二部分。
  2. 如請求項1之電腦實施方法,該程序進一步包含:顯示關於受到該操縱影響的該實體設計之該部分或該實體設計之另一元件之一電寄生或一電特性的一或多個結果。
  3. 如請求項1之電腦實施方法,其中判定且顯示該回應之該動作係在該使用者介面與之整合之一電子電路實體設計工具內在原位執行。
  4. 如請求項1之電腦實施方法,其中在無需一使用者離開該使用者介面之情況下執行判定該操縱如何影響該實體設計且顯示該回應之該動作。
  5. 如請求項1之電腦實施方法,其中在該第一顯示部分中實質上即時地顯示該回應。
  6. 如請求項1之電腦實施方法,其中判定且顯示該回應之該動作包含:將該實體設計之該部分中之複數個組件或與該複數個組件有關之資料分群成複數個群組;識別一具有複數個視覺效應之熱圖;及藉由使用具有該複數個視覺效應之該熱圖顯示該回應。
  7. 如請求項1之電腦實施方法,其中該操縱包含改變該實體設計中的一組件之一特性。
  8. 如請求項1之電腦實施方法,其中該操縱包含在該第一顯示部分中或在該使用者介面之另一顯示部分中識別該實體設計之該部分中的一組件或與該組件有關的一資料項目。
  9. 如請求項1之電腦實施方法,其中該回應包含驗證一電約束、一電寄生、在該實體設計中的一組件之實體資料之一項目或一或多個電分析之一結果。
  10. 如請求項9之電腦實施方法,其中該一或多個電分析包含一電遷移分析或一IR下降分析。
  11. 如請求項1之電腦實施方法,該程序進一步包含:判定或在該顯示器裝置中顯示一回應於該操縱之提示。
  12. 如請求項1之電腦實施方法,其中該程序由該至少一處理器在原位執行。
  13. 如請求項1之電腦實施方法,其進一步包含: 判定或顯示相對於對一實體設計物件之互動式操縱的一電特性且將該特性與一極限或約束比較。
  14. 如請求項1之電腦實施方法,其進一步包含:將電特性與一極限或約束比較;及判定或顯示該電特性已關於該極限或約束消耗之一預算或百分比。
  15. 一種用於在設計具有電感知之一電子電路中提供可定製資訊之系統,其包含:至少一處理器,該處理器經組態或程式化以用於執行一如請求項1至14中任一項之程序。
  16. 一種製造物,其包含儲存有一指令序列的一非暫時性電腦可讀儲存媒體,該等指令當由至少一處理器執行時使該至少一處理器執行用於在設計具有電感知之一電子電路中提供可定製資訊之一組程序,該組程序包含:使用該至少一處理器,該處理器經程式化以用於執行一如請求項1至14中任一項之程序。
TW100126108A 2010-07-24 2011-07-22 用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物 TWI533153B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US36739810P 2010-07-24 2010-07-24
US36741210P 2010-07-24 2010-07-24
US36740410P 2010-07-24 2010-07-24
US36740710P 2010-07-24 2010-07-24
US36740610P 2010-07-24 2010-07-24
US36741010P 2010-07-24 2010-07-24
US12/982,628 US8689169B2 (en) 2010-07-24 2010-12-30 Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness

Publications (2)

Publication Number Publication Date
TW201218003A TW201218003A (en) 2012-05-01
TWI533153B true TWI533153B (zh) 2016-05-11

Family

ID=45494297

Family Applications (5)

Application Number Title Priority Date Filing Date
TW100126053A TWI509443B (zh) 2010-07-24 2011-07-22 用於實施具有模擬感知之電子電路設計之方法、系統及製造物
TW100126052A TWI529552B (zh) 2010-07-24 2011-07-22 用於實施具有電感知之電子電路設計的約束驗證之方法、系統及製造物
TW100126108A TWI533153B (zh) 2010-07-24 2011-07-22 用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物
TW100126109A TWI519983B (zh) 2010-07-24 2011-07-22 用於實施具有電感知之電子電路設計之方法、系統及製造物
TW100126113A TW201218005A (en) 2010-07-24 2011-07-22 Methods, systems, and articles of manufacture for implementing electronic circuit designs with electro-migration awareness

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW100126053A TWI509443B (zh) 2010-07-24 2011-07-22 用於實施具有模擬感知之電子電路設計之方法、系統及製造物
TW100126052A TWI529552B (zh) 2010-07-24 2011-07-22 用於實施具有電感知之電子電路設計的約束驗證之方法、系統及製造物

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW100126109A TWI519983B (zh) 2010-07-24 2011-07-22 用於實施具有電感知之電子電路設計之方法、系統及製造物
TW100126113A TW201218005A (en) 2010-07-24 2011-07-22 Methods, systems, and articles of manufacture for implementing electronic circuit designs with electro-migration awareness

Country Status (2)

Country Link
US (7) US8694950B2 (zh)
TW (5) TWI509443B (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8386975B2 (en) * 2007-12-27 2013-02-26 Cadence Design Systems, Inc. Method, system, and computer program product for improved electrical analysis
US8521483B1 (en) * 2010-06-02 2013-08-27 Cadence Design Systems, Inc. Method and apparatus for concurrent design of modules across different design entry tools targeted to single simulation
US8782577B2 (en) 2010-07-24 2014-07-15 Cadence Design Systems, Inc. Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
US8694950B2 (en) 2010-07-24 2014-04-08 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic circuit designs with electrical awareness
US8726207B2 (en) * 2011-05-25 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. On-the-fly device characterization from layouts of circuits
US9177095B1 (en) * 2011-10-26 2015-11-03 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for creating or manipulating electrical data sets for an electronic design
US8769456B1 (en) 2011-10-26 2014-07-01 Cadence Design Systems, Inc. Methods, systems, and articles for implementing extraction and electrical analysis-driven module creation
US8510702B2 (en) * 2011-11-15 2013-08-13 Texas Instruments Incorporated Interactive routing editor with symbolic and geometric views for integrated circuit layout
US8694943B1 (en) * 2011-12-30 2014-04-08 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing electronic designs with connectivity and constraint awareness
US8645902B1 (en) 2011-12-30 2014-02-04 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing interactive coloring of physical design components in a physical electronic design with multiple-patterning techniques awareness
US8595662B1 (en) 2011-12-30 2013-11-26 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical design of an electronic circuit with automatic snapping
US9064063B1 (en) 2011-12-30 2015-06-23 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing interactive, real-time checking or verification of complex constraints
US8656325B2 (en) * 2012-01-12 2014-02-18 International Business Machines Corporation Integrated circuit design method and system
US8631372B2 (en) * 2012-02-10 2014-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of electromigration mitigation in stacked IC designs
US9053289B1 (en) 2012-04-12 2015-06-09 Cadence Design Systems, Inc. Method and system for implementing an improved interface for designing electronic layouts
US8621409B2 (en) * 2012-04-30 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing layout-dependent effects
US8793632B2 (en) * 2012-05-31 2014-07-29 Freescale Semiconductor, Inc. Techniques for electromigration stress determination in interconnects of an integrated circuit
US8732641B1 (en) * 2012-11-15 2014-05-20 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern matching based parasitic extraction with pattern reuse
US8826211B1 (en) * 2012-11-30 2014-09-02 Cadence Design Systems, Inc. Graphical user interface for physically aware clock tree planning
US9141746B1 (en) * 2014-03-31 2015-09-22 Cadence Design Systems, Inc. System and method to drag instance master physical shell
US8898608B1 (en) * 2013-07-15 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for displaying timing information of an integrated circuit floorplan
US9152751B2 (en) * 2013-09-30 2015-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal lines for preventing AC electromigration
US9384317B1 (en) * 2013-11-01 2016-07-05 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using constraint driven techniques
US9092589B2 (en) * 2013-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with device array layout generation
US10445699B2 (en) * 2014-01-30 2019-10-15 Mentor Graphics Corporation Social electronic design automation
US9569583B2 (en) 2014-04-07 2017-02-14 TallannQuest LLC Method and system for computer-aided design of radiation-hardened integrated circuits
DE102014220215A1 (de) * 2014-10-07 2016-04-07 Robert Bosch Gmbh Verfahren zur drahtlosen Kommunikation
JP6435546B2 (ja) * 2014-10-17 2018-12-12 ディップソール株式会社 銅−ニッケル合金電気めっき装置
CN105653744A (zh) * 2014-11-13 2016-06-08 中芯国际集成电路制造(上海)有限公司 版图布局的设计方法及装置
US9471738B2 (en) * 2015-02-05 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for capacitance extraction
US10783292B1 (en) 2015-05-21 2020-09-22 Pulsic Limited Automated analog layout
US9679099B2 (en) 2015-07-01 2017-06-13 International Business Machines Corporation De-coupling capacitance placement
US9740815B2 (en) 2015-10-26 2017-08-22 Globalfoundries Inc. Electromigration-aware integrated circuit design methods and systems
US10282507B2 (en) * 2015-11-24 2019-05-07 Oracle International Corporation Method and system for determining circuit failure rate
US10127338B2 (en) * 2015-12-15 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information
US10216870B2 (en) * 2016-01-13 2019-02-26 International Business Machines Corporation Methodology to prevent metal lines from current pulse damage
US20170308639A1 (en) * 2016-04-25 2017-10-26 Mediatek Inc. Method for analyzing ir drop and electromigration of ic
US9990454B2 (en) 2016-06-03 2018-06-05 International Business Machines Corporation Early analysis and mitigation of self-heating in design flows
US10161994B2 (en) * 2016-06-14 2018-12-25 Formfactor Beaverton, Inc. Systems and methods for electrically testing electromigration in an electromigration test structure
US10445457B1 (en) * 2016-06-30 2019-10-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical design of an electronic design with DFM and design specification awareness
US10237644B1 (en) 2016-09-23 2019-03-19 Apple Inc. Enhancing a listening experience by adjusting physical attributes of an audio playback system based on detected environmental attributes of the system's environment
US10331843B1 (en) * 2016-09-27 2019-06-25 Altera Corporation System and method for visualization and analysis of a chip view including multiple circuit design revisions
TWI750155B (zh) * 2017-03-03 2021-12-21 聯華電子股份有限公司 自動產出設計規範驗證(drc)的系統與其方法
US10380314B1 (en) * 2017-05-10 2019-08-13 Cadence Design Systems, Inc. System and method for estimating current in an electronic circuit design
US10521097B1 (en) 2017-09-29 2019-12-31 Cadence Design Systems, Inc. User interface to implement topology integrity throughout routing implementations
US10423753B1 (en) * 2017-09-29 2019-09-24 Cadence Design Systems, Inc. Method and apparatus for efficient and accurate signal electromigration analysis of digital-on-top designs with complex interface pin shapes
US10423751B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package floating metal checks
US10423752B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package metal shadowing checks
US10558780B1 (en) 2017-09-30 2020-02-11 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing schematic driven extracted views for an electronic design
US10678978B1 (en) * 2017-09-30 2020-06-09 Cadence Design Systems, Inc. Methods, systems, and computer program product for binding and back annotating an electronic design with a schematic driven extracted view
US10467370B1 (en) 2017-09-30 2019-11-05 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a net as a transmission line model in a schematic driven extracted view for an electronic design
US10551431B1 (en) * 2017-12-22 2020-02-04 Cadence Design Systems, Inc. EM-compliance topology in a tree router
US10592628B2 (en) * 2018-01-17 2020-03-17 Mentor Graphics Corporation Parasitic extraction based on compact representation of process calibration data
US10073440B1 (en) * 2018-02-13 2018-09-11 University Of Central Florida Research Foundation, Inc. Method for the design and manufacture of composites having tunable physical properties
US10783296B1 (en) * 2018-06-08 2020-09-22 Diakopto, Inc. Matched net and device analysis based on parasitics
US11107714B2 (en) * 2018-10-31 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Electromigration evaluation methodology with consideration of thermal and signal effects
US11074391B2 (en) * 2019-01-22 2021-07-27 International Business Machines Corporation Characterizing and simulating library gates to enable identification and elimination of electromigration violations in semiconductor chips
US10997333B1 (en) 2019-12-05 2021-05-04 Cadence Design Systems, Inc. Methods, systems, and computer program product for characterizing an electronic design with a schematic driven extracted view
US11853680B2 (en) * 2020-07-06 2023-12-26 Synopsys, Inc. Incremental routing based pin assignment

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1215273B (it) 1985-05-09 1990-01-31 Ates Componenti Elettron Procedimento e dispositivo per identificare transistori parassiti in una struttura integrata.
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5553002A (en) 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5544067A (en) 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5469366A (en) 1993-09-20 1995-11-21 Lsi Logic Corporation Method and apparatus for determining the performance of nets of an integrated circuit design on a semiconductor design automation system
US5903469A (en) 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5629857A (en) 1994-11-15 1997-05-13 International Business Machines Corporation Method and system for indicating a status of a circuit design
US5872952A (en) 1995-04-17 1999-02-16 Synopsys, Inc. Integrated circuit power net analysis through simulation
US7076410B1 (en) 1997-01-27 2006-07-11 Unisys Corporation Method and apparatus for efficiently viewing a number of selected components using a database editor tool
US6910200B1 (en) 1997-01-27 2005-06-21 Unisys Corporation Method and apparatus for associating selected circuit instances and for performing a group operation thereon
US6131182A (en) * 1997-05-02 2000-10-10 International Business Machines Corporation Method and apparatus for synthesizing and optimizing control logic based on SRCMOS logic array macros
US6072945A (en) 1997-06-26 2000-06-06 Sun Microsystems Inc. System for automated electromigration verification
US6378110B1 (en) * 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
US7016794B2 (en) 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US6449578B1 (en) 1999-06-30 2002-09-10 Hewlett-Packard Company Method and apparatus for determining the RC delays of a network of an integrated circuit
US6507932B1 (en) * 1999-07-02 2003-01-14 Cypress Semiconductor Corp. Methods of converting and/or translating a layout or circuit schematic or netlist thereof to a simulation schematic or netlist, and/or of simulating function(s) and/or performance characteristic(s) of a circuit
US6381730B1 (en) * 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6581191B1 (en) 1999-11-30 2003-06-17 Synplicity, Inc. Hardware debugging in a hardware description language
WO2001054001A1 (en) 2000-01-18 2001-07-26 Cadence Design Systems, Inc. Adaptable circuit blocks for use in multi-block chip design
US6499130B1 (en) * 2000-02-17 2002-12-24 Avant! Corporation Methods, apparatus and computer program products that perform layout versus schematic comparison of integrated circuits using advanced symmetry resolution techniques
US6665845B1 (en) 2000-02-25 2003-12-16 Sun Microsystems, Inc. System and method for topology based noise estimation of submicron integrated circuit designs
US6584606B1 (en) 2000-06-01 2003-06-24 International Business Machines Corporation Fast method of I/O circuit placement and electrical rule checking
US6539533B1 (en) 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
EP1370992A2 (en) 2000-10-18 2003-12-17 Chipworks Design analysis workstation for analyzing integrated circuits
US6557153B1 (en) 2000-11-15 2003-04-29 Reshape, Inc. Method and system for implementing a user interface for performing physical design operations on an integrated circuit netlist
US6507940B2 (en) * 2001-05-02 2003-01-14 Oridus, Inc. Method for generating information for a window view of an integrated circuit from layout-formatted data
US7103863B2 (en) 2001-06-08 2006-09-05 Magma Design Automation, Inc. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
US6728942B2 (en) * 2001-06-12 2004-04-27 Conexant Systems, Inc. Method and system for predictive MOSFET layout generation with reduced design cycle
US6643836B2 (en) 2001-08-29 2003-11-04 Intel Corporation Displaying information relating to a logic design
US6523150B1 (en) 2001-09-28 2003-02-18 International Business Machines Corporation Method of designing a voltage partitioned wirebond package
US6701508B1 (en) * 2001-11-19 2004-03-02 Cypress Semiconductor Corporation Method and system for using a graphics user interface for programming an electronic device
CA2415810C (en) 2002-01-07 2013-04-02 Analog Design Automation Inc. Method of schematic-level ams topology optimization using direct representations
US6877148B1 (en) 2002-04-07 2005-04-05 Barcelona Design, Inc. Method and apparatus for routing an integrated circuit
AU2003274370A1 (en) 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US6954915B2 (en) * 2002-07-31 2005-10-11 Agilent Technologies, Inc. System and methods for pre-artwork signal-timing verification of an integrated circuit design
US6766498B2 (en) 2002-08-28 2004-07-20 Advanced Micro Devices, Inc. Extracting wiring parasitics for filtered interconnections in an integrated circuit
JP3944030B2 (ja) 2002-08-30 2007-07-11 キヤノン株式会社 ネットワークデバイス制御装置、ネットワークデバイス制御方法および該制御方法を実現するためのプログラム
JP2004102772A (ja) 2002-09-11 2004-04-02 Renesas Technology Corp 設計検証装置
JP2004139181A (ja) * 2002-10-15 2004-05-13 Renesas Technology Corp レイアウト装置及びプログラム
US6981238B1 (en) 2002-10-22 2005-12-27 Cypress Semiconductor Corporation Verification of integrated circuit designs using buffer control
KR100459731B1 (ko) 2002-12-04 2004-12-03 삼성전자주식회사 반도체 집적회로의 시뮬레이션을 위한 인터커넥션 영향을포함한 선택적 연결정보를 생성하는 장치 및 그 방법
US6922823B2 (en) 2002-12-13 2005-07-26 Lsi Logic Corporation Method for creating derivative integrated circuit layouts for related products
US7827017B2 (en) 2002-12-17 2010-11-02 Cadence Design Systems, Inc. Method and system for implementing circuit simulators
US6817004B2 (en) 2003-01-22 2004-11-09 Lsi Logic Corporation Net segment analyzer for chip CAD layout
US7178118B2 (en) 2003-05-30 2007-02-13 Synplicity, Inc. Method and apparatus for automated circuit design
US7243317B2 (en) 2003-05-30 2007-07-10 Illinios Institute Of Technology Parameter checking method for on-chip ESD protection circuit physical design layout verification
US7251800B2 (en) 2003-05-30 2007-07-31 Synplicity, Inc. Method and apparatus for automated circuit design
US7206731B2 (en) 2003-06-02 2007-04-17 Agilent Technologies, Inc. Electromagnetic/circuit co-simulation and co-optimization with parametric layout components
US7209105B2 (en) * 2003-06-06 2007-04-24 Clairvoyante, Inc System and method for compensating for visual effects upon panels having fixed pattern noise with reduced quantization error
US7260562B2 (en) * 2003-06-30 2007-08-21 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
US6842714B1 (en) 2003-08-22 2005-01-11 International Business Machines Corporation Method for determining the leakage power for an integrated circuit
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US7089129B2 (en) 2003-11-12 2006-08-08 International Business Machines Corporation Electromigration check of signal nets using net capacitance to evaluate thermal characteristics
US20050114818A1 (en) 2003-11-21 2005-05-26 Lsi Logic Corporation Chip design command processor
US7181383B1 (en) 2003-11-26 2007-02-20 Cadence Design Systems, Inc. System and method for simulating a circuit having hierarchical structure
US7356784B1 (en) 2003-12-05 2008-04-08 Cadence Design Systems, Inc. Integrated synthesis placement and routing for integrated circuits
WO2007070879A1 (en) 2005-12-17 2007-06-21 Gradient Design Automation, Inc. Simulation of ic temperature distributions using an adaptive 3d grid
US20070234266A1 (en) 2004-02-07 2007-10-04 Chao-Chiang Chen Method of optimizing IC logic performance by static timing based parasitic budgeting
US7139990B2 (en) 2004-03-23 2006-11-21 International Business Machines Corporation Method of checking the layout versus the schematic of multi-fingered MOS transistor layouts using a sub-circuit based extraction
TWI262411B (en) 2004-05-07 2006-09-21 Dorado Design Automation Inc Integrated circuit design system
US20050268269A1 (en) 2004-06-01 2005-12-01 Tera Systems, Inc. Methods and systems for cross-probing in integrated circuit design
US20050268258A1 (en) 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
US7350164B2 (en) 2004-06-04 2008-03-25 Carnegie Mellon University Optimization and design method for configurable analog circuits and devices
US7275230B2 (en) 2004-06-11 2007-09-25 Avago Technologies General Ip (Singapore) Pte. Ltd. Methods to gather and display pin congestion statistics using graphical user interface
US7347621B2 (en) * 2004-07-16 2008-03-25 International Business Machines Corporation Method and system for real-time estimation and prediction of the thermal state of a microprocessor unit
US7278120B2 (en) 2004-07-23 2007-10-02 Synplicity, Inc. Methods and apparatuses for transient analyses of circuits
US20060101368A1 (en) 2004-09-08 2006-05-11 Mentor Graphics Corporation Distributed electronic design automation environment
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7240310B2 (en) 2004-12-07 2007-07-03 International Business Machines Corporation Method, system and program product for evaluating a circuit
US7228514B2 (en) * 2005-01-21 2007-06-05 International Business Machines Corporation Method, system and computer program product for automatically estimating pin locations and interconnect parasitics of a circuit layout
US7281230B2 (en) 2005-04-20 2007-10-09 Taiwan Semiconductor Manufacturing Company Method of using mixed multi-Vt devices in a cell-based design
US7596771B2 (en) 2005-05-10 2009-09-29 Texas Instruments Incorporated Distributed element generator, method of generating distributed elements and an electronic design automation tool employing the same
US7552409B2 (en) * 2005-06-07 2009-06-23 Synopsys, Inc. Engineering change order process optimization
JP4600823B2 (ja) 2005-06-30 2010-12-22 富士通株式会社 電子回路解析プログラム、方法及び装置
US7526739B2 (en) 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
EP1907956B1 (en) 2005-07-26 2012-12-26 Mentor Graphics Corporation Accelerated analog and/or rf simulation
US7904852B1 (en) 2005-09-12 2011-03-08 Cadence Design Systems, Inc. Method and system for implementing parallel processing of electronic design automation tools
US7331029B2 (en) 2005-09-22 2008-02-12 International Business Machines Corporation Method and system for enhancing circuit design process
US7398500B1 (en) 2005-09-30 2008-07-08 Taray Technologies Netlist synthesis and automatic generation of PC board schematics
FR2893159B1 (fr) 2005-11-04 2013-02-08 Edxact Sa Procede et dispositif pour l'analyse de circuits integres
US7363607B2 (en) 2005-11-08 2008-04-22 Pulsic Limited Method of automatically routing nets according to parasitic constraint rules
US20070118827A1 (en) 2005-11-21 2007-05-24 Asifur Rahman Method and apparatus for integrated circuit fault isolation and failure analysis using linked tools cockpit
US7921383B1 (en) 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7626626B2 (en) * 2006-01-13 2009-12-01 Micron Technology, Inc. Method and apparatus providing pixel storage gate charge sensing for electronic stabilization in imagers
EP1864219A1 (en) * 2006-02-28 2007-12-12 Mentor Graphics Corporation Monitoring physical parameters in an emulation environment
US7490303B2 (en) 2006-03-03 2009-02-10 International Business Machines Corporation Identifying parasitic diode(s) in an integrated circuit physical design
JP2007286691A (ja) * 2006-04-12 2007-11-01 Toshiba Corp 集積回路設計装置
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
US20070288881A1 (en) 2006-06-12 2007-12-13 Sreeni Maheshwarla Method of merging designs of an integrated circuit from a plurality of sources
US7640527B1 (en) 2006-06-29 2009-12-29 Xilinx, Inc. Method and apparatus for partial reconfiguration circuit design for a programmable device
US7761834B2 (en) 2006-07-20 2010-07-20 Solido Design Automation Inc. Interactive schematic for use in analog, mixed-signal, and custom digital circuit design
JP4799311B2 (ja) * 2006-08-01 2011-10-26 パナソニック株式会社 エレクトロマイグレーション検証方法
KR101269055B1 (ko) * 2006-08-19 2013-05-29 삼성전자주식회사 레이아웃의 수율을 높이기 위한 방법 및 상기 방법이저장된 기록 매체
US20080061843A1 (en) 2006-09-11 2008-03-13 Asier Goikoetxea Yanci Detecting voltage glitches
US7802222B2 (en) 2006-09-25 2010-09-21 Cadence Design Systems, Inc. Generalized constraint collection management method
US20080086709A1 (en) * 2006-10-05 2008-04-10 Dan Rittman System and method for automatic elimination of electromigration and self heat violations during construction of a mask layout block, maintaining the process design rules (DRC Clean) and layout connectivity (LVS Clean) correctness
US8127260B1 (en) * 2006-11-22 2012-02-28 Cadence Design Systems, Inc. Physical layout estimator
US7665048B2 (en) 2006-12-18 2010-02-16 Cadence Design Systems, Inc. Method and system for inspection optimization in design and production of integrated circuits
US7559045B2 (en) 2006-12-22 2009-07-07 Inventec Corporation Database-aided circuit design system and method therefor
US7810063B1 (en) * 2007-02-01 2010-10-05 Cadence Design Systems, Inc. Graphical user interface for prototyping early instance density
US7574682B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US7698677B2 (en) 2007-03-31 2010-04-13 Freescale Semiconductor, Inc. On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
TWI334554B (en) 2007-07-27 2010-12-11 King Yuan Electronics Co Ltd Method for designing stacked pattern of printed circuit board and the system, device and computer-readable medium thereof
US7805698B1 (en) 2007-09-19 2010-09-28 Cadence Design Systems, Inc. Methods and systems for physical hierarchy configuration engine and graphical editor
US7784007B2 (en) 2007-09-27 2010-08-24 United Microelectronics Corp. Method for automatically producing layout information
WO2009105138A2 (en) 2007-11-30 2009-08-27 Coventor, Inc. A system and method for three-dimensional schematic capture and result visualization of multi-physics system models
JP4938696B2 (ja) * 2008-01-24 2012-05-23 ソニー株式会社 半導体装置の設計プログラムおよび半導体装置の設計システム
US7966588B1 (en) 2008-01-26 2011-06-21 National Semiconductor Corporation Optimization of electrical circuits
US20100023897A1 (en) * 2008-02-20 2010-01-28 Pikus Fedor G Property-Based Classification In Electronic Design Automation
US8209650B2 (en) 2008-04-16 2012-06-26 Texas Instruments Incorporated Method and system for entry and verification of parasitic design constraints for analog integrated circuits
US7853915B2 (en) * 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
US7996812B2 (en) * 2008-08-14 2011-08-09 International Business Machines Corporation Method of minimizing early-mode violations causing minimum impact to a chip design
US8150638B1 (en) 2008-08-25 2012-04-03 Xilinx, Inc. Predicting parasitic capacitance in schematic circuit simulations using sub-circuit modeling
US8136068B2 (en) 2008-09-30 2012-03-13 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing compact manufacturing models in electronic design automation
US8261228B1 (en) 2008-10-01 2012-09-04 Cadence Design Systems, Inc. Technique for modeling parasitics from layout during circuit design and for parasitic aware circuit design using modes of varying accuracy
US8091055B2 (en) * 2009-01-26 2012-01-03 Synopsys, Inc. Method and apparatus for managing violations and error classifications during physical verification
US8024051B2 (en) 2009-02-24 2011-09-20 Oracle America, Inc. Parallel power grid analysis
US8141013B2 (en) 2009-06-30 2012-03-20 International Business Machines Corporation Method and system of linking on-chip parasitic coupling capacitance into distributed pre-layout passive models
US8799850B2 (en) 2009-10-29 2014-08-05 Synopsys, Inc. Simulation-based design state snapshotting in electronic design automation
US8407646B2 (en) * 2010-02-11 2013-03-26 Synopsys, Inc. Active net and parasitic net based approach for circuit simulation and characterization
US8694950B2 (en) 2010-07-24 2014-04-08 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic circuit designs with electrical awareness
US8495556B2 (en) 2010-11-09 2013-07-23 Chipworks Inc. Circuit visualization using flightlines

Also Published As

Publication number Publication date
US9223925B2 (en) 2015-12-29
US20120023465A1 (en) 2012-01-26
TW201218003A (en) 2012-05-01
TW201218002A (en) 2012-05-01
TW201218001A (en) 2012-05-01
US8694950B2 (en) 2014-04-08
US9330222B2 (en) 2016-05-03
TWI529552B (zh) 2016-04-11
TW201218005A (en) 2012-05-01
TWI509443B (zh) 2015-11-21
US8694933B2 (en) 2014-04-08
US8762914B2 (en) 2014-06-24
US20140237440A1 (en) 2014-08-21
US20120022846A1 (en) 2012-01-26
US20120023468A1 (en) 2012-01-26
TW201218004A (en) 2012-05-01
US20120023467A1 (en) 2012-01-26
US8689169B2 (en) 2014-04-01
US8701067B1 (en) 2014-04-15
US20120023472A1 (en) 2012-01-26
TWI519983B (zh) 2016-02-01

Similar Documents

Publication Publication Date Title
TWI533153B (zh) 用於在具有電感知之設計電子電路中提供在原位可定製化資訊之方法、系統及製造物
US8782577B2 (en) Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
US11487924B2 (en) System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information
US9665676B2 (en) Integrated circuit design system
US9348960B1 (en) Method, system, and computer program product for probing or netlisting a multi-fabric electronic design spanning across multiple design fabrics
US9881119B1 (en) Methods, systems, and computer program product for constructing a simulation schematic of an electronic design across multiple design fabrics
US8806414B2 (en) Method and system for layout parasitic estimation
US9348965B2 (en) Parasitic component library and method for efficient circuit design and simulation using the same
US9390218B2 (en) Integrated circuit design system with color-coded component loading estimate display
US10467370B1 (en) Methods, systems, and computer program product for implementing a net as a transmission line model in a schematic driven extracted view for an electronic design
US10678978B1 (en) Methods, systems, and computer program product for binding and back annotating an electronic design with a schematic driven extracted view
US8056040B2 (en) Method and system for visual implementation of layout structures for an integrated circuit
US10878164B1 (en) Methods, systems, and computer program product for interactively probing a multi-fabric electronic design
CN103678755B (zh) 产生线路模型的系统及方法
US9858374B1 (en) Method and system for displaying waveform results directly on a schematic
US10094875B1 (en) Methods, systems, and articles of manufacture for graph-driven verification and debugging of an electronic design
US10783312B1 (en) Methods, systems, and computer program product for determining layout equivalence for a multi-fabric electronic design
Canelas et al. AIDA-C Variation-Aware Circuit Synthesis Tool
Li SiP Schematic Design Input
TW201229797A (en) Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
CN114970417A (zh) 用于集成电路的设计中的故障的图中因果关系排序
KR20080019931A (ko) 스크립트 기반의 애니메이션을 이용한 전자 회로 설계 검증 방법
WO2012018570A1 (en) Methods, systems, and articles of manufacture for implementing electronic circuit designs with electrical awareness

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees