TWI531045B - 晶片封裝與其形成方法 - Google Patents

晶片封裝與其形成方法 Download PDF

Info

Publication number
TWI531045B
TWI531045B TW103101923A TW103101923A TWI531045B TW I531045 B TWI531045 B TW I531045B TW 103101923 A TW103101923 A TW 103101923A TW 103101923 A TW103101923 A TW 103101923A TW I531045 B TWI531045 B TW I531045B
Authority
TW
Taiwan
Prior art keywords
die
wafer
conductive
underfill
molding compound
Prior art date
Application number
TW103101923A
Other languages
English (en)
Other versions
TW201436163A (zh
Inventor
侯上勇
葉德強
鄭心圃
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201436163A publication Critical patent/TW201436163A/zh
Application granted granted Critical
Publication of TWI531045B publication Critical patent/TWI531045B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Description

晶片封裝與其形成方法
本發明係關於晶片封裝,更特別關於不需中介物的WoCoS製程。
由於多種電子構件如電晶體、二極體、電阻、電感、或類似構件持續改良,半導體產業已快速成長一段時日。積體密度增加的主因為結構尺寸持續縮小,即更多構件可整合至固定面積中。
上述改良的積體元件本質上為二維(2D)元件,即積體構件位於半導體晶圓的表面上。雖然大幅改良的微影製程也大幅改良2D IC,但二維元件密度仍受限於物理限制。限制之一即元件所需的最小尺寸。此外,將越多元件置於單一晶片時,其設計越複雜。
三維(3D)積體電路的研究是為了進一步增加電路密度。在一般的3DIC製程中,將兩個晶粒接合在一起,並形成電性連接於每一晶粒與基板上的接觸墊之間。中介堆疊係部份的3D IC技術,其中穿透矽通孔(TSV)埋置的中介物經由微凸塊連接至矽元件。3D IC製程可依流程分為兩種。在基板上晶片上晶片(CoCoS)的製作流程中,先將矽中介晶片黏結至封裝基板上,再將不同元件矽晶片黏結至中介物上。在基板上晶圓上 晶片(CoWoS)製作流程中,先將元件矽晶片黏結至矽中介晶圓上,接著切割上述結構。接著將切割後的堆疊矽黏結至基板上。
本發明一實施例提供之晶片封裝的形成方法,包括:將多個第一晶粒接合於載板上;密封載板上的第一晶粒於第一成型化合物中;藉由多個導電單元,將多個第二晶粒耦接至第一晶粒上;施加底填物於第一晶粒與第二晶粒之間,以圍繞導電單元;以及密封第二晶粒與底填物於第二成型化合物中。
本發明一實施例提供之晶片封裝,包括:第一晶粒;第一成型材料,密封第一晶粒;第二晶粒,經由多個導電單元耦接至第一晶粒;底填物,位於第一晶粒與第二晶粒之間並密封導電單元;第二成型化合物,密封第二晶粒與底填物;再佈線層,且再佈線層與底填物分別位於第一晶粒的相反兩側上;以及多個連接物,提供三維扇出結構,且三維扇出結構與第一晶粒分別位於再佈線層的相反兩側上。
本發明一實施例提供之晶片封裝,包括:第一晶片,封裝於第一成型化合物中;以及第二晶片,大於第一晶片,並經由多個導電單元耦接至第一晶片;其中導電單元係密封於第一晶片與第二晶片之間的底填物中,且第一晶片與第二晶片之間不具有中介物;以及其中第二晶片與底填物係密封於第二成型化合物中,且第二成型化合物接觸第一成型化合物。
本發明一實施例提供之晶片封裝的形成方法,包括:施加多個第一導電單元至第一晶粒上;施加多個第二導電 單元至第二晶粒上,且第二晶粒大於第一晶粒;將第二晶粒置於第一晶粒上,不需中介物即耦接第二導電單元至第一導電單元;以及施加底填物於第一晶粒與該第二晶粒之間,其中底填物密封第一導電單元與第二導電單元。
10、92‧‧‧半導體基板
12‧‧‧穿孔
20‧‧‧晶片系統
22‧‧‧穿孔與墊層
23‧‧‧開口
26‧‧‧圖案化的導電層
27‧‧‧高分子層
28、62、82‧‧‧UBM單元
29‧‧‧RDL
30‧‧‧第一成型化合物
40‧‧‧黏著層
44‧‧‧導電結構
46‧‧‧BGA
50‧‧‧載板
60、80‧‧‧導電單元
70‧‧‧底填物
72‧‧‧凸塊
90‧‧‧層狀物
94‧‧‧第二成型化合物
100‧‧‧晶片封裝
110、120‧‧‧晶片
第1圖係一實施例中,晶片封裝的剖視圖;以及第2a至2h圖係一實施例中,形成第1圖之晶片封裝的製程。
如何製作與使用本發明實施例的方法將詳述於下。可以理解的是,本發明提供多種發明概念以實施於多種特定方向,但這些特定實施例僅用以舉例而非侷限本發明範疇。
下述內容係晶片封裝結構與其形成方法的實施例。晶片封裝可作為3D IC的構件之一,並可堆疊於基板、板、晶圓、其他晶片、或晶片封裝上。舉例來說,晶片封裝可包含記憶元件或構件、處理器、其他邏輯晶片、或上述之組合。晶片封裝可採用CoWoS等製作流程,形成第二晶片或晶粒於第一晶片或晶粒上。本發明的製作流程可提供晶圓級封裝,並省略一般CoWoS製作流程中的基板接合步驟。
第二晶粒可大於第一晶粒,在將第二晶粒置於第一晶粒的製程中可形成懸垂結構。為了支撐此懸垂結構,第一晶粒可可密封於第一成型化合物中。將較大的第二晶粒置於第一晶粒上並電耦接兩者後,可將底填物注入堆疊的兩個晶粒之間。接著將第二晶粒與底填物密封於第二成型化合物中,且第 二成型化合物位於封裝第一晶粒之第一成型化合物上。位於第一成型化合物上的第二成型化合物,以及注入第一晶粒與第二晶粒之間的底填物,能提供懸垂結構可靠的機械支撐,進而避免製程中的封裝處理問題如翹曲。接著以再佈線層(RDL)或類似物,將具有多個連接物的內連線層或結構如球格陣列(BGA)耦接至第一晶粒。上述RDL或類似物係位於第一晶粒與內連線層或結構之間。最後形成的封裝即3D扇出式結構。
下述實施例特別關於以CoWoS等製作流程形成的晶片封裝,其封裝等級可省略一般CoWoS製作流程中的基板接合步驟。晶片封裝包含系統單晶片(SoC)密封於第一成型化合物中,以及密封於第二成型化合物中且位於SoC晶粒上的底填物上的較大晶片,其中第二成型化合物位於第一成型化合物上。晶片封裝亦包含具有連接物的內連線結構(如BGA),其經RDL耦接至晶片。其他實施例亦可用於具有懸垂結構的其他堆疊晶片/晶粒,其懸垂結構係以成型化合物與底填層支撐。上述結構的形成方法採用類似的製作流程,其封裝等級可省略基板接合步驟。
在多種圖式與內容中,相同構件將以相同標號標示。雖然某些圖式具有特定構件,但這些圖式僅用以簡化說明。本技術領域中具有通常知識者應理解,這些內容與圖式可實施於結構中的多種構件。
第1圖係一實施例中,晶片封裝100的剖視圖。晶片封裝100可包含堆疊的晶片或晶粒,以及其他內連線構件。晶片封裝100包含的晶片(或晶粒)120,經由底填物70耦接至晶 片(或晶粒)110如系統單晶片(SoC)。晶片110可密封於第一成型化合物30中。晶片120與底填物70可密封於第二成型化合物94中,且第二成型化合物94接觸第一成型化合物30。在與底填物70相反的一側上,密封於第一成型化合物30中的晶片110可經由RDL 29耦接至BGA 46。在另一實施例中,上述結構可包含其他晶粒、晶片、或晶片封裝。其他連接物、內連線結構、或內連線層可用以取代BGA 46。
晶片110可包含黏結至半導體基板10的晶片系統20。晶片系統20可包含一或多個堆疊的晶片或邏輯晶片,其可包含一或多個堆疊的介電層、導電層、及/或半導體層。舉例來說,堆疊的晶片或邏輯晶片可對應一或多個堆疊的記憶元件(比如快閃記憶體與DRAM記憶體)、一或多個處理器或處理核心(比如CPU核心)、其他數位邏輯元件、或上述之組合。半導體基板10可為矽基板。晶片系統20亦可包含水平/垂直延伸於堆疊的層或晶片中的多個穿孔與墊層22。半導體基板10亦包含穿孔12,以耦接至晶片系統20中的穿孔與墊層22。
晶片120可包含黏結至半導體基板92的一或多個層狀物(如半導體層、介電層、及/或導電層)90。一或多個層狀物90包含的邏輯元件比晶片系統20單純。密封晶片110的第一成型化合物30,與密封晶片120的第二成型化合物94可為相同或不同的高分子、成型底填物、或類似物。在其他實施例中,藉由底填物70可將任意兩個晶粒耦接在一起。舉例來說,另一SoC晶粒可取代晶片120,以耦接至晶片110。單純的邏輯晶片(如基板上的單層)亦可取代晶片110,以耦接至晶片120。
底填物70可為高分子如市售環氧樹脂,並置於(比如注入)晶片110與120之間。底填物70可密封導電單元60(由金屬或任何導電物組成),且導電單元60可經由UBM(凸塊下金屬化)單元62耦接至晶片110的穿孔12。底填物70亦可密封導電單元80,且導電單元80可經由UBM單元82耦接至晶片120的一或多層狀物90。導電單元60可經由焊球或凸塊72或類似物對準並耦接至導電單元80,而不需採用中介物於晶片110與120之間。如此一來,導電單元60與80可提供晶片110與120之間的電性耦接。
RDL 29可包含圖案化的導電層26與高分子層27。圖案化的導電層26耦接至晶片系統20的穿孔與墊層22。高分子層27位於圖案化的導電層、晶片110、與第一成型化合物30上。BGA 46可包含多個導電結構44如導電球或微凸塊,其排列方式可為陣列(或其他規則圖案),可經由UBM單元28黏結至RDL 29之圖案化的導電層26。如此一來,圖案化的導電層26可提供BGA 46與晶片110之間的電性耦接。
第2a至2h圖係一實施例中,形成晶片封裝100的製作流程圖。雖然下述實施例中的步驟具有特定順序,但可採用任何合理的順序進行這些步驟。第2a圖顯示提供具有穿孔的SoC晶圓之步驟,且SoC晶圓包含重複且相鄰的圖案之陣列或系列。每一圖案對應一晶片110。具有穿孔的SoC晶圓之形成方法可為任何合適製程。半導體基板10中的穿孔12,與晶片系統20中的穿孔與墊層22可填有金屬或導電材料。晶片系統20的上表面可具有開口23,以露出穿孔與墊層22。
第2b圖顯示重組與成型步驟,包含將SoC晶圓分隔成獨立的個別晶粒或晶片110,且分隔方法可為晶片切割、圖案化蝕刻、雷射、或類似步驟。個別的晶片110可經由黏著層40接合至載板50。黏著層40可位於(比如壓合於)載板50上。晶片110可置於載板50上的晶片110之間的空間中。黏著層40可為膠體或壓合箔層。載板50可為任何合適基板,以在後續製程中提供其頂部上的層狀物足夠的機械支撐。舉例來說,載板50可為矽基板、矽或玻璃中介物、印刷電路板(PCB)、有機壓合基板、或類似物。在其他實施例中,其他種類的晶片封裝或晶粒可置於黏著層40上。晶片110可由任何合適方法形成或置於黏著層40上,以將晶片110整合至製作流程中。第一成型化合物30可密封黏著層40上的晶片110。第一密封化合物可為高分子、成型底填物、類似物、或上述之組合。第一成型化合物30之形成方法,可為注入第一成型材料30以密封黏著層40上的晶片110。
第2c圖係將穿孔凸塊添加至晶片110的步驟,包括研磨第一成型化合物30以露出晶片110的上表面,並延伸穿孔12至半導體基板10露出的表面。上述步驟包含蝕刻、圖案化製程、雷射、或用以形成穿孔的其他製程。導電單元60可置於(比如沉積於)延伸的晶片110之半導體基板10之表面上。接著可將焊球或凸塊72或類似物置於(或沉積於)導電單元60上。
第2d圖為晶片接點與底填注入等步驟。在將晶片120置於晶片110上之前,先將導電單元80置於晶片120上。藉由任何合適製程,可將晶片120置於晶片110上。晶片120可對 準個別的晶片110,以凸塊72耦接導電單元80與導電單元60。如此一來,彼此耦接的晶片120與110不需採用中介物如晶圓。晶片120可大於晶片110,因此晶片120在置於晶片110上時形成懸垂結構。接著將底填物70注入晶片120與110之間,以進一步支撐懸垂於晶片110上的晶片120。
第2e圖係成型步驟,可形成第二成型化合物94以密封第一成型化合物30上的晶片120。第二成型化合物94可為高分子、成型底填物、類似物、或上述之組合。第二成型化合物94之形成方法,可為注射第二成型化合物94以密封晶片120,以及第一成型材料30及晶片110上的底填物70。
第2f圖為剝離載板的步驟,即移除載板50與黏著層40。移除載板50的方法,可將載板50接合至其他構件或層狀物的黏著層40溶解或蝕刻。此外,翻轉晶片封裝。保留的接合層狀物可包含第一成型化合物30密封的晶片110,位於底填物70以及第二成型化合物94密封的晶片120上。
第2g圖為扇出式RDL與BGA的形成步驟。RDL 29可形成於晶片110與第一成型化合物30的頂部上。RDL 29其圖案化的導電層26可形成(如沉積)與圖案化(如微影製程)以接觸露出的穿孔與墊層22。RDL 29的高分子層27可沿著第一成型化合物30、圖案化的導電層26、與晶片110露出的上表面延伸。接著可將BGA 46接合至RDL 29。BGA 46的導電結構44可置於接觸RDL 29之圖案化的導電層26的位置。在一實施例中,BGA 46耦接至RDL 29的方法可為覆晶凸塊製程。BGA 46之導電結構44可經由圖案化的導電層26上之UBM單元28完成耦接。導電 結構44可為導電球、C4凸塊、微凸塊、或類似物,其材料組成可包含錫、銀、無鉛的錫、銅、類似物、或上述之組合。
在另一實施例中,BGA 46可藉由不採用UBM單元的另一晶片接合製程耦接至RDL 29。RDL 29其圖案化的導電層26可提供下述元件之間的電性耦接:BGA 46之導電結構44、穿孔與墊層22、晶片110之穿孔12、埋置於底填物70中的導電單元60與80、以及晶片120。上述結構即用於晶片封裝的3D扇出式結構。在其他實施例中,可採用具有RDL或不具有RDL的其他內連線結構或連接物取代BGA,以耦接至晶片並完成表面安裝封裝。
第2h圖係安裝切割帶與切割晶粒的步驟,以得多個相同的晶片/晶粒封裝,其各自對應第1圖所示的晶片封裝100。藉由晶片切割、圖案化蝕刻、雷射、或類似步驟,可沿著相鄰的晶片/晶粒封裝之間的切割線,垂直切開保留的接合層,以得個別的晶片封裝100。最後形成的晶片封裝100可分開販售、裝載、使用、及/或整合至元件或其他封裝中。
如前所述,第2a至2h圖的類CoWoS製作流程並未採用一般CoWoS製作流程中的最終基板接合步驟,即可提供封裝。上述製程不但較簡易,且可節省成本。此外,上述製作流程藉由密封晶粒或晶片110於第一成型化合物30中(見第2c圖)、將底填物70注入晶片110與120之間(見第2d圖)、以及密封晶片120與底填物70於第一成型化合物30上的第二成型化合物94中(見第2e圖),可提供第2d與2e圖中的懸垂結構有效的機械支撐。上述方法可解決製程中懸垂結構的問題如結構翹曲,進 而改善元件的可信度與品質。
在本發明一實施例中,晶片封裝的形成方法包括:將多個第一晶粒接合於載板上;密封載板上的第一晶粒於第一成型化合物中;藉由多個導電單元,將多個第二晶粒耦接至第一晶粒上;施加底填物於第一晶粒與第二晶粒之間,以圍繞導電單元;以及密封第二晶粒與底填物於第二成型化合物中。
在本發明另一實施例中,晶片封裝包括:第一晶粒;第一成型材料,密封第一晶粒;第二晶粒,經由多個導電單元耦接至第一晶粒;底填物,位於第一晶粒與第二晶粒之間並密封導電單元;第二成型化合物,密封第二晶粒與底填物;再佈線層,且再佈線層與底填物分別位於第一晶粒的相反兩側上;以及多個連接物,提供三維扇出結構,且三維扇出結構與第一晶粒分別位於再佈線層的相反兩側上。
在本發明另一實施例中,晶片封裝包括:第一晶片,封裝於第一成型化合物中;以及第二晶片,大於第一晶片,並經由多個導電單元耦接至第一晶片;其中導電單元係密封於第一晶片與第二晶片之間的底填物中,且第一晶片與第二晶片之間不具有中介物;以及其中第二晶片與底填物係密封於第二成型化合物中,且第二成型化合物接觸第一成型化合物。
在本發明另一實施例中,晶片封裝的形成方法包括:施加多個第一導電單元至第一晶粒上;施加多個第二導電單元至第二晶粒上,且第二晶粒大於第一晶粒;將第二晶粒置於第一晶粒上,不需中介物即耦接第二導電單元至第一導電單 元;以及施加底填物於第一晶粒與該第二晶粒之間,其中底填物密封第一導電單元與第二導電單元。
雖然上述內容已詳述實施例與其優點,但應理解在不脫離申請專利範圍和實施例精神的前提下,可進行各種改變、替代、與變更。此外,申請專利範圍不限於上述內容中特定實施例的製程、機器、製作、組成、裝置、方法、和步驟。如本技術領域中具有通常知識者由本發明所知,根據本發明可用的方式與對應實施例,即可採用目前或未來研發之具有實質上相同功能或可達實質上相同結果的製程、機器、製作、組成、裝置、方法或步驟。綜上所述,申請專利範圍包括上述製程、機器、製作、組成、裝置、方法、或步驟。
10、92‧‧‧半導體基板
12‧‧‧穿孔
20‧‧‧晶片系統
22‧‧‧穿孔與墊層
26‧‧‧圖案化的導電層
27‧‧‧高分子層
28、62、82‧‧‧UBM單元
29‧‧‧RDL
30‧‧‧第一成型化合物
44‧‧‧導電結構
46‧‧‧BGA
60、80‧‧‧導電單元
70‧‧‧底填物
72‧‧‧凸塊
90‧‧‧層狀物
94‧‧‧第二成型化合物
100‧‧‧晶片封裝
110、120‧‧‧晶片

Claims (12)

  1. 一種晶片封裝的形成方法,包括:將多個第一晶粒接合於一載板上;密封該載板上的該些第一晶粒於一第一成型化合物中;藉由多個導電單元,將多個第二晶粒耦接至該些第一晶粒上;施加一底填物於該些第一晶粒與該些第二晶粒之間,以圍繞該些導電單元;密封該些第二晶粒與該底填物於一第二成型化合物中;以及在密封該些第二晶粒與該底填物於一第二成型化合物中的步驟後剝離該載板,以露出該些第一晶粒的表面。
  2. 如申請專利範圍第1項所述之晶片封裝的形成方法,其中該些第二晶粒經由該些導電單元耦接至該些第一晶粒,且不需任何中介物位於該些第一晶粒與該些第二晶粒之間。
  3. 如申請專利範圍第1項所述之晶片封裝的形成方法,更包括:翻轉該晶片封裝;形成一再佈線層於該些第一晶粒露出的表面上;以及形成一內連線結構,以提供三維扇出結構於該再佈線層上,其中該些第一晶粒以一黏著層接合於該載板上,且剝離該載板之步驟包括移除該黏著層。
  4. 如申請專利範圍第1項所述之晶片封裝的形成方法,更包括切割密封於該第一成型化合物中的該些第一晶粒,與密封 於該第二成型化合物中的該底填物與該些第二晶粒,以得具有三維扇出結構的多個晶片封裝。
  5. 一種晶片封裝,包括:一第一晶粒;一第一成型材料,密封該第一晶粒且露出該第一晶粒的表面;一第二晶粒,經由多個導電單元耦接至該第一晶粒;一底填物,位於該第一晶粒與該第二晶粒之間並密封該些導電單元;一第二成型化合物,密封該第一晶粒上的該第二晶粒與該底填物;一再佈線層,該再佈線層與該底填物分別位於該第一晶粒的相反兩側上,且該再佈線層位於該第一晶粒露出的表面上;以及多個連接物,提供一三維扇出結構,且該三維扇出結構與該第一晶粒分別位於該再佈線層的相反兩側上。
  6. 如申請專利範圍第5項所述之晶片封裝,其中位於該第一晶粒上的該第二晶粒形成一懸垂結構,且其中密封該第一晶粒的該第一成型化合物、該第一晶粒與該第二晶粒之間的該底填物、與密封該第二晶粒的該第二成型化合物提供機械支撐至該懸垂結構。
  7. 如申請專利範圍第5項所述之晶片封裝,其中該第一晶粒耦接至多個第一導電單元,該第二晶粒耦接至多個第二導電單元,且該些第一導電單元耦接至該底填物中的該些第二 導電單元,其中該些連接物經由該再佈線層耦接至該第一晶粒中的多個穿孔與墊層的組合,且其中該些穿孔與墊層耦接至該些第一導電單元。
  8. 如申請專利範圍第5項所述之晶片封裝,其中該第一晶粒與該第二晶粒包括堆疊的邏輯晶片之多層結構。
  9. 一種晶片封裝,包括:一第一晶片,封裝於一第一成型化合物中,且該第一成型化合物露出該第一晶片的一表面;以及一第二晶片,大於該第一晶片,並經由多個導電單元耦接至該第一晶片;其中該導電單元係密封於該第一晶片與該第二晶片之間的一底填物中,且該第一晶片與該第二晶片之間不具有中介物;以及其中該第二晶片與該底填物係密封於一第二成型化合物中,且該第二成型化合物接觸該第一成型化合物。
  10. 如申請專利範圍第9項所述之晶片封裝,其中密封於該底填物中的該些導電單元包括:多個第一導電單元,位於該第一晶片上;多個第二導電單元,位於該第二晶片上;以及多個接點,耦接於該些第一導電單元與該些第二導電單元之間。
  11. 如申請專利範圍第9項所述之晶片封裝,更包括:一再佈線層耦接至該第一晶片,其中該第一晶片位於該底填物與該再佈線層之間;以及 一內連線結構,包括多個連接物耦接至該再佈線層,且該些連接物用於三維扇出式結構,其中該再佈線層位於該第一晶片與該內連線結構之間,其中該再佈線層包括一導電層耦接至該第一晶片,與一高分子層耦接至該導電層與該內連線結構,其中該內連線結構係一球格陣列,且該球格陣列包括多個導電球以耦接至該導電層。
  12. 一種晶片封裝的形成方法,包括:將一第一晶粒接合至一載板上;將該第一晶粒密封於該載板上的一第一成型化合物中;施加多個第一導電單元至該第一晶粒上;施加多個第二導電單元至一第二晶粒上,且該第二晶粒大於該第一晶粒;將該第二晶粒置於該第一晶粒上,不需一中介物即耦接該些第二導電單元至該些第一導電單元;以及施加一底填物於該第一晶粒與該第二晶粒之間,其中該底填物密封該些第一導電單元與該些第二導電單元;在將該第二晶粒置於該第一晶粒上,並施加底填物於該第一晶粒與該第二晶粒之間的步驟後,將該第二晶粒與該底填物密封於該第一成型化合物上的一第二成型化合物中;以及自該載板剝離該第一晶粒,以露出該第一晶粒的一表面。
TW103101923A 2013-03-08 2014-01-20 晶片封裝與其形成方法 TWI531045B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/791,305 US8993380B2 (en) 2013-03-08 2013-03-08 Structure and method for 3D IC package

Publications (2)

Publication Number Publication Date
TW201436163A TW201436163A (zh) 2014-09-16
TWI531045B true TWI531045B (zh) 2016-04-21

Family

ID=51486839

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103101923A TWI531045B (zh) 2013-03-08 2014-01-20 晶片封裝與其形成方法

Country Status (2)

Country Link
US (2) US8993380B2 (zh)
TW (1) TWI531045B (zh)

Families Citing this family (485)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR101514137B1 (ko) * 2013-08-06 2015-04-21 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US9659896B2 (en) 2014-08-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for wafer level package and methods of forming same
KR101676916B1 (ko) * 2014-08-20 2016-11-16 앰코 테크놀로지 코리아 주식회사 반도체 디바이스의 제조 방법 및 이에 따른 반도체 디바이스
US9373604B2 (en) 2014-08-20 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for wafer level package and methods of forming same
US9484285B2 (en) 2014-08-20 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for wafer level package and methods of forming same
US9711474B2 (en) * 2014-09-24 2017-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure with polymeric layer and manufacturing method thereof
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10157823B2 (en) 2014-10-31 2018-12-18 Qualcomm Incorporated High density fan out package structure
US9786631B2 (en) 2014-11-26 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Device package with reduced thickness and method for forming same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9627288B2 (en) 2015-05-29 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10269682B2 (en) 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US9659911B1 (en) * 2016-04-20 2017-05-23 Powertech Technology Inc. Package structure and manufacturing method thereof
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10535597B2 (en) 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US9905549B1 (en) * 2017-02-16 2018-02-27 Nanya Technology Corporation Semiconductor apparatus and method for preparing the same
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
EP3646375A4 (en) * 2017-06-29 2021-01-20 INTEL Corporation SEMICONDUCTOR COMPONENTS WITH SEVERAL FIELDS OF RETAIL
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10510691B2 (en) 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
US11394359B2 (en) 2017-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structure and method of forming
DE102018107014A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co. Ltd. Bandsperrfilterstrukturen und Verfahren zum Ausbilden und Betreiben derselben
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
WO2019079937A1 (en) * 2017-10-23 2019-05-02 Boe Technology Group Co., Ltd. INTEGRATED CIRCUIT CHIP, DISPLAY APPARATUS, AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT CHIP
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10665560B2 (en) * 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10622321B2 (en) * 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
KR102538178B1 (ko) * 2018-08-22 2023-05-31 삼성전자주식회사 유기 인터포저를 포함하는 반도체 패키지
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11450606B2 (en) 2018-09-14 2022-09-20 Mediatek Inc. Chip scale package structure and method of forming the same
US20200312732A1 (en) 2018-09-14 2020-10-01 Mediatek Inc. Chip scale package structure and method of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
DE102018130035B4 (de) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package und verfahren
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
EP3772094A3 (en) * 2019-08-01 2023-01-04 MediaTek Inc. Chip scale package structure and method of forming the same
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11417619B2 (en) 2019-08-22 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Package and manufacturing method thereof
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11257791B2 (en) * 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
CN112466861A (zh) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 封装结构及其形成方法
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
KR20210087337A (ko) * 2020-01-02 2021-07-12 삼성전자주식회사 반도체 패키지와 이를 구비하는 전자 장치 및 반도체 패키지의 제조방법
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11574857B2 (en) 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
US11380611B2 (en) * 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11276670B2 (en) 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11404404B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11450626B2 (en) * 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11469197B2 (en) * 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US20220102288A1 (en) * 2020-09-25 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US20220262742A1 (en) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11487060B2 (en) 2021-03-25 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11854944B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11908757B2 (en) * 2021-06-18 2024-02-20 Taiwan Semiconductor Manufacturing Company Limited Die corner removal for molding compound crack suppression in semiconductor die packaging and methods for forming the same
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7034388B2 (en) * 2002-01-25 2006-04-25 Advanced Semiconductor Engineering, Inc. Stack type flip-chip package
JP2003273317A (ja) 2002-03-19 2003-09-26 Nec Electronics Corp 半導体装置及びその製造方法
JP4865197B2 (ja) * 2004-06-30 2012-02-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20130040423A1 (en) 2011-08-10 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Multi-Chip Wafer Level Packaging

Also Published As

Publication number Publication date
US9412678B2 (en) 2016-08-09
US20140252572A1 (en) 2014-09-11
US20150194361A1 (en) 2015-07-09
TW201436163A (zh) 2014-09-16
US8993380B2 (en) 2015-03-31

Similar Documents

Publication Publication Date Title
TWI531045B (zh) 晶片封裝與其形成方法
US10867897B2 (en) PoP device
TWI642156B (zh) 採用成型中介層的晶圓級封裝
TWI631670B (zh) 使用埋入式架橋矽穿通孔內連件的半導體封裝
US20210351118A1 (en) Fan-Out Package with Controllable Standoff
US20210265284A1 (en) Dummy Dies for Reducing Warpage in Packages
TWI644402B (zh) 半導體封裝及其形成方法
US9299682B2 (en) Packaging methods for semiconductor devices
US9728496B2 (en) Packaged semiconductor devices and packaging devices and methods
US20180277519A1 (en) Packages with Stacked Dies and Methods of Forming the Same
TWI631676B (zh) 電子封裝件及其製法
CN108766940B (zh) 用于3d封装的应力补偿层
US20180040550A1 (en) Method of fabricating electronic package
TW201828370A (zh) 形成堆疊式封裝結構的方法
US9875949B2 (en) Electronic package having circuit structure with plurality of metal layers, and fabrication method thereof
US10049973B2 (en) Electronic package and fabrication method thereof and substrate structure
TWI571942B (zh) 晶圓級封裝的製作方法
TW201725687A (zh) 包含不同尺寸的封裝穿孔的封裝上封裝構件
TW201630121A (zh) 封裝結構及其形成方法
TWI753686B (zh) 電子封裝件及其製法
US10403596B2 (en) Method of fabricating packaging structure
KR102367622B1 (ko) 집적 회로 패키지 및 방법
KR102506102B1 (ko) 반도체 패키지 구조체 및 그 제조 방법
KR101803605B1 (ko) 패키지화된 반도체 디바이스 및 그 패키징 방법
US20230395563A1 (en) Multiple non-active dies in a multi-die package