TWI511620B - 電漿處理系統內之電漿侷限構造 - Google Patents

電漿處理系統內之電漿侷限構造 Download PDF

Info

Publication number
TWI511620B
TWI511620B TW098143675A TW98143675A TWI511620B TW I511620 B TWI511620 B TW I511620B TW 098143675 A TW098143675 A TW 098143675A TW 98143675 A TW98143675 A TW 98143675A TW I511620 B TWI511620 B TW I511620B
Authority
TW
Taiwan
Prior art keywords
plasma
movable
facing
plasma processing
conductive structure
Prior art date
Application number
TW098143675A
Other languages
English (en)
Other versions
TW201034523A (en
Inventor
Eric Hudson
Andreas Fischer
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201034523A publication Critical patent/TW201034523A/zh
Application granted granted Critical
Publication of TWI511620B publication Critical patent/TWI511620B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53961Means to assemble or disassemble with work-holder for assembly
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53983Work-supported apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理系統內之電漿侷限構造
本發明關於電漿侷限構造,尤有關於在基板之電漿處理期間將電漿侷限於電漿處理室中的可動式電漿侷限構造。
已長久使用電漿處理系統來處理電漿處理系統中的基板(例如,晶圓)。在一般的電漿處理室中,電漿被點燃且被侷限於電漿侷限區域中,電漿侷限區域一般由腔室之上構造與下構造以及環狀地圍繞電漿侷限區域之構造所定義。
為促進基板之插入及移除,且為促進自電漿處理室排空廢氣,許多腔室使用一可動式限制環組以環狀地侷限電漿。例如,可動式限制環可被向上提舉以促進基板插入及移除。一般而言,吾人調整可動式限制環之鄰接環之間的間距大小,以允許廢氣透過間距排空,同時作為電漿膨脹之阻礙(例如,藉由使間距小於電漿鞘層(plasma sheath))。依此方式,可以物理方式侷限電漿,同時允許透過可動式限制環組而移除廢氣。
為方便說明,圖1顯示一部分先前技術電容耦合電漿處理室100之簡圖。其顯示在處理期間用來支撐基板(未顯示)之下電極102。下電極102一般由RF電源(未顯示)供給電壓以產生並維持電漿104。為了控制處理之目的,期望將電漿104侷限於電漿侷限區域中,電漿侷限區域一般由下電極102、上電極106(其可由相同或另一RF電源接地或供給電壓)所定義,並由一組限制環110(包含環110a-d)環狀地定義。如所述,限制環110之間的間隔允許廢氣自腔室抽出,同時使電漿保持侷限於上述電漿侷限區域內。限制環110可由合適的材料(如石英)製成。
在圖1之例子中,亦顯示圍繞下電極102之環形接地電極112。可將環形接地電極112開槽以提供自腔室將廢氣抽真空之額外流動通道。一般而言,環形接地電極112由導電材料(如鋁)形成,且藉由絕緣體(未顯示)與下電極102電氣絕緣。接地電極112之接地係藉由將接地電極112連接至RF接地來完成,一般係透過一條以上之帶料至配置於下電極102下方之導電下接地延長部分。
為避免環形接地電極112之金屬材料暴露於腐蝕性電漿並可能汙染電漿處理,可將環形接地電極112之表面覆蓋合適材料,如石英。在具有限制環110組之情況下,調整環形接地電極112(及石英覆蓋層)中的狹縫尺寸,以允許排空廢氣,同時避免電漿膨脹超出電漿侷限區域。在電漿處理室中使用限制環110以及環形接地電極112為已知且在此將不進一步詳述。
一般而言,限制環110為電氣浮接,即,未直接連接至DC接地或RF接地。由於在先前技術中限制環110有遠離RF接地之傾向,無明顯之RF電流流經限制環組。
由於限制環110處於電氣浮接狀態且無明顯之RF電流流經限制環110,在電漿處理期間低電壓『浮接』鞘層產生於限制環110之表面上。由於來自電漿加速之離子能量係受到鞘層電位影響,低鞘層電位導致限制環表面上離子轟擊之低能量位準。因此,在限制環之表面,例如濺鍍及離子增強蝕刻(如發生於原位電漿清潔處理期間者)之薄膜移除處理相當無效率。此外,由於低離子轟擊能量,在處理之後較大量之沉澱物遺留在限制環之表面上。相比之下,遭受較高離子轟擊能量之腔室之其他區域,在薄膜移除處理期間將經歷較高的薄膜移除率,且在基板處理期間將經歷較低程度之薄膜沉積。
最終結果為在基板處理期間限制環有以較高速率(相對於遭受較高離子轟擊能量之腔室區域)累積殘留物之傾向,且這些殘留物在原位腔室清潔處理期間有較慢被移除(相對於遭受較高離子轟擊能量之腔室區域)之傾向。這些因素需要更頻繁且/或更長之原位腔室清潔循環(如無晶圓自動清潔或WAC循環),來使限制環保持在良好狀態,且在某些情況中,可能甚至需要終止整體處理,以使限制環被移除及清潔及/或取代。因此,基板產率不利地降低,導致電漿處理設備之較低生產量及較高成本之所有權。
在一實施例中,本發明關於在基板之電漿處理期間將電漿侷限於電漿處理室中的可動式電漿侷限構造。可動式電漿侷限構造包含用來圍繞電漿之可動式面向電漿構造。可動式電漿侷限構造亦包含配置於可動式面向電漿構造之外部的可動式電氣導電構造,其被裝設以與可動式面向電漿構造一起展開及縮回如同一單一單元,以促進基板之處理。可動式電氣導電構造在電漿處理期間為射頻(RF,radio frequency)接地。在電漿處理期間可動式面向電漿構造係配置於電漿與可動式電氣導電構造之間以使來自電漿之RF電流在電漿處理期間穿過可動式面向電漿構造流至可動式電氣導電構造。
以上發明內容僅與在此揭露之本發明之許多實施例之其中一者有關,且並不限制在申請專利範圍中所提出之本發明之範疇。以下將在本發明之詳細說明連同附圖中,對本發明之這些及其他特徵詳加說明。
現在將參照一些實施例及舉例性附圖詳細地敘述本發明。為了要提供本發明之全面性的了解,許多的具體的細節會在接下來的敘述中提出。然而,對熟悉本技藝者,本發明可以在沒有這些具體細節的情況下實施。在其他情況下,為了避免不必要地混淆本發明,並未詳細地描述熟知的製程步驟及/或結構。
發明人在此已指明當元件為電氣浮接時,即,未連接至DC或RF接地,產生於其表面之電漿鞘電壓(plasma sheath voltage)電位相當低。上述為具有先前技術限制環(例如,圖1之限制環110)之情形。相對地,雖然石英薄層存在於圖1之環形接地電極112之頂部上,發明人已指明,與限制環110之表面上的累積率相比,殘留物以較慢速度累積於環形接地電極112之表面上。一般認為雖然石英層作為DC絕緣體,石英層相當薄之事實使得RF電流可能經由通過薄石英層之相當低阻抗路徑而流至接地,從而導致較高的電漿鞘層電壓且伴隨較高程度之離子轟擊。
由此了解,在此揭露本發明之下列實施例。一般而言,本發明之一個以上之實施例關於在電漿處理室中處理基板同時減少殘留物累積於面對電漿之限制環及/或構造上的改良方法及配置。在一個以上之實施例中,改善處亦協助電漿保持侷限於電漿侷限區域中,即,降低電漿非侷限情況發生於上述電漿侷限區域之外部之環形外部區域中的可能性。
在本發明之一個以上之實施例中,設置可動式RF接地電漿侷限組件(可動式RFG電漿侷限組件)。可動式RFG電漿侷限組件包含至少一可動式電漿侷限構造,其既為DC絕緣並良好連接至RF接地。在一實施例中,可動式電漿侷限構造包含可動式面向電漿(plasma-facing)構造(其可由石英或其他類似合適材料形成)及可動式電氣導電構造,其連接至可動式面向電漿構造之後部以提供至接地之RF電流路徑。即,可動式面向電漿構造之一側面對電漿,而另一側連接至可動式電氣導電構造,其係受到可動式面向電漿構造之保護而免受電漿。
在實務中,可在可動式面向電漿構造與可動式電氣導電構造之間設置小間隔(在一範例中,約0.01英吋)以容納熱膨脹。溝槽、肩狀物、扣件、隔片、及其他已知機械連接技術(其細節與本發明無密切關係)可用來達成可動式面向電漿構造與可動式電氣導電構造之連結同時使上述熱膨脹間隔保留於兩者之間。
包含可動式面向電漿構造以及附接之電氣導電構造的可動式電漿侷限構造在基板插入及移除期間上下移動如單一單元。在一實施例中,面向電漿侷限構造表示為圓柱形石英內套筒,而電氣導電構造表現為圓柱形電鍍鋁外套筒,其置於圓柱形石英內套筒外部且連結至圓柱形石英內套筒。
雖然以上範例論述一絕緣面向電漿構造,然而面向電漿構造不限於為絕緣。一般而言,只要可動式電漿侷限構造整體允許來自電漿之RF電流以先前論述之方式橫越並促進高電漿鞘電壓產生,例如,以改良離子轟擊,可使用任何抗電漿及處理相容構造。
在一個以上之實施例中,可動式電漿侷限構造包含:可動式面向電漿構造,其由導電及製程相容之材料所形成(其可由摻雜之SiC或其他處理相容材料形成);及可動式導電支撐構造,其連接至可動式面向電漿構造以提供至接地之RF電流路徑。在一實施例中,面向電漿構造表示為摻雜之SiC圓柱,而電氣導電構造表示為環形電鍍鋁底座,其連接至摻雜之SiC圓柱。在一實施例中,面向電漿構造安置於並連接至電氣導電構造。
可動式RFG電漿侷限組件更包含連接至可動式電氣導電構造之一個以上之可彎曲導電帶,以提供RF電流至RF接地之低阻抗路徑,其一般為室壁或連接至RF接地之其他導電構造。在一實施例中,當可動式電漿侷限構造在基板處理期間處於展開位置時,可選擇性地裝設一個以上之額外RF接點,以縮短至接地之RF電流路徑。如之後將於此說明者,當電漿出現時RF電流路徑之縮短協助降低電漿侷限區域之外部之環形外部區域中的電容耦合及電感耦合,從而降低在此一環形外部區域中疏忽著火及/或持久性非侷限電漿的可能性。
可參考圖式及下列說明而更了解本發明之實施例之特徵及優點。
依據本發明之實施例,圖2顯示包含可動式RFG電漿侷限組件200之電漿處理室之簡化部分。可動式RFG電漿侷限組件200包含可動式電漿侷限構造202,其包含可動式面向電漿構造204及可動式導電構造206。在圖2之範例中,可動式面向電漿構造204設有圓柱形石英套筒204a及水平石英環204b,然而可使用與電漿處理相容之任何其他合適材料。圓柱形石英套筒204a在處理期間面向電漿,而水平石英環204b在電漿處理及/或廢氣排空期間保護可動式導電構造206免於暴露至電漿。
藉由使圓柱形石英環204a倒退之圓柱形電鍍鋁套筒,可動式導電構造206係實施於圖2之範例中。雖然使用電鍍鋁,亦可使用任何合適的導電材料。可動式電氣導電構造206對橫越圓柱形石英環204a之薄材料的RF電流提供一低阻抗路徑。一個以上之可彎曲導電帶212將可動式電氣導電構造206連接至接地的腔室元件(如腔室頂板,如圖2之範例中所示)或至某些其他接地的腔室元件。在一實施例中,可將複數之導電帶安置於圓柱形電鍍鋁套筒之圓周附近,以對於返回之RF電流提供至接地之複數路徑以及圓柱形對稱性。如所述者,在某些情況下,吾人期望可在可動式面向電漿構造204與可動式導電構造206之間設置熱膨脹間隔。
為促進基板插入及移除至腔室,可動式電漿侷限構造202(包含可動式面向電漿構造204及可動式導電構造206)可依需求而上下移動。為達到在上/下方向上線性平移而使用之特定機構可為任何種類之轉換器及/或傳動裝置,包含已於先前技術中說明之機電、氣動等等。可彎曲導電帶212收縮以方便可動式電漿侷限構造202之移動,同時維持所期望之接地低阻抗路徑。
在電漿處理期間,可動式電漿侷限構造202被降低至一展開處(如圖2所示)。廢氣係透過存在於可動式電漿侷限構造202下方之間隔214而被排空。間隔214之尺寸可被調整,以允許廢氣排空,同時作為對電漿膨脹之阻障,以避免電漿非侷限情況發生於電漿侷限區域222外側之環形外部區域220中。若有需要,可穿過可動式電漿侷限構造202及可動式導電構造206而形成額外狹縫或孔以增加廢氣傳導。在圖2之範例中此一狹縫顯示為狹縫230。狹縫可被垂直與水平定位。此外,狹縫可置於環形接地232中以提供額外廢氣傳導同時維持電漿侷限,如先前技術中所說明。
由於有接地之低阻抗RF電流路徑,產生於面向電漿構造204之表面之電漿鞘層電壓將比圖1之情形(限制環為電氣浮接)來得高。較高之電漿鞘層電壓導致較高之離子轟擊能量,其減少在處理期間殘留物累積於面向電漿構造204上,並增進電漿清潔處理期間殘留物之移除。此外,較高離子能量轟擊亦加熱可動式電漿侷限構造202面對電漿之表面,更促成在處理期間殘留物累積之減少及增進電漿清潔處理期間殘留物之移除。
電氣導電構造206之存在亦協助減少非期望之非侷限電漿情況發生於環形外部區域220中。回到圖1,由於石英限制環110為電氣浮接,電容場係由從電漿發出且終止於限制環110外部之接地腔室表面138之場線所建立。例如,這些場線顯示為場線140a-d。在電漿侷限區域144外部之環形外部區域142中存在電容場,增加了電漿不慎著火及/或維持於此環形外部區域142中之可能性,即,非侷限電漿情況。
然而,在圖2之實施例中,從電漿發出之大部分電容場線終止於可動式導電構造206(由場線214a-c顯示)。雖然某些場線可橫越可動式面向電漿構造204及可動式電漿侷限構造202下方之間隔214而終止於其他接地元件,但場線214a-c不再橫越環形外部區域220之事實本質上降低及/或消除環形外部區域220中電容場之存在,非侷限電漿較不可能形成及/或維持在環形外部區域220中。
依據本發明之實施例,圖3顯示替代或額外實施例,其包含用以縮短至接地之RF電流路徑的選擇性底部RF接點,從而降低環形外部區域220中的接地電流感應場,以更降低非侷限電漿形成之可能性。回到圖1,來自電漿之RF電流沿著由箭頭150所示之低阻抗路徑行進。此RF電流沿著鄰接至環形外部區域142之室壁流動並建立感應場,其促進非侷限電漿形成於環形外部區域142中。
在圖3中,在可動式導電構造206之底部設置易碎的RF接點302a。有另一相對應之易碎RF接點302b連接至RF接地。為確保良好的RF接觸,當可動式電漿侷限構造展開且期望RF連接性時,可使用彈簧或其他彈性機構,以將兩RF接點302a及302b推在一起。在一實施例中,RF接點302a及302b之其中一者或每一者可至少部分地裝設於孔或凹處中,當可動式電漿侷限構造(包含可動式導電構造206)處於展開位置時,利用設置在RF接點下方在上述凹處或孔之底部之彈簧或彈性機構,以將RF接點推向其對應部而確保良好之RF連接性。為了說明清楚,在圖3中顯示之可動式電漿侷限構造僅處於部分展開位置,且RF接點尚未互相實體接觸。
在圖3之範例中,相對應之易碎RF接點302b連接至環形接地電極304之導電材料。在一實施例中,使用SiC作為易碎RF接點,然而亦可使用任何其他導電材料,其與電漿處理相容且充分耐用以禁得起重覆接觸之建立及破壞。
在電漿處理期間,可動式電漿侷限構造在下方或展開位置展開,且易碎RF接點302a及302b被推在一起,以允許RF電流在面向電漿區域222之表面上以箭頭320之方向流動。應注意圖3中的RF電流在到RF接地之途中橫越可動式導電構造206及RF接點302a/302b。上述與圖1之情況形成對比,於圖1之情況中RF電流沿著鄰接至外部環形區域142之腔室表面流動(見圖1中之箭頭150)。由於RF電流並不沿著鄰接至外部環形區域220之腔室表面流動,而是在導電構造206之內部、面對電漿之表面,故無感應場建立於此一外部環形區域220中,以促進非期望之非侷限電漿之形成或維持。
由前述可察知,本發明之實施例促成在處理期間降低殘留物形成於電漿侷限構造表面上,且亦促成在原位(in-situ)電漿清潔處理期間改善殘留物移除。用來終止從電漿發出之電容場線的可動式電氣導電構造之存在,亦使非期望之非侷限電漿較不可能被電容場點燃及/或維持於外部環形區域中。假如如論述設置易碎RF接點,繞過鄰接於外部環形區域之室壁表面之較短接地RF電流路徑,亦大體上降低及/或消除非期望之非侷限電漿被感應場點燃及/或維持於外部環形區域中之可能性。這些改良協助改善晶圓產率及製程控制,如此使得持有者成本降低且良率提升。
雖然已依據數個實施例說明本發明,仍有落入本發明之範疇內之修改、變更、及等效設計。亦應注意有許多實施本發明之方法及設備的替代方式。雖然在此提供各種範例,對於本發明這些範例應被視為舉例性而非限制性。此外,本發明之實施例在其他應用中可為實用。
此外,為了便利而在此提供標題及概要,不應用來推斷申請專利範圍之範疇。在者,摘要係以高度省略形式撰寫且為了便利而提供於此,因此不應用來推斷或限制表達於申請專利範圍中之整體發明。亦應注意有許多實施本發明之方法及設備的替代方式。假如在此使用術語『組』,此一術語具有其一般了解之數學意義,涵蓋零、一、或一以上之構件。因此隨附之申請專利範圍被解釋為包含落入本發明之精神與範疇內之所有此類修改、變更及等效設計。
100...電漿處理室
102...下電極
104...電漿
106...上電極
110...限制環
110a...限制環
110b...限制環
110c...限制環
110d...限制環
112...環形接地電極
138...接地腔室表面
140a...場線
140b...場線
140c...場線
140d...場線
142...環形外部區域
144...電漿侷限區域
150...箭頭
200...可動式RFG電漿侷限組件
202...可動式電漿侷限構造
204...可動式面向電漿構造
204a...圓柱形石英套筒
204b...水平石英環
206...可動式電氣導電構造
212...可彎曲導電帶
214...間隔
214a...場線
214b...場線
214c...場線
220...環形外部區域
222...面向電漿區域
230...狹縫
232...環形接地
302a...RF接點
302b...RF接點
304...環形接地電極
320...箭頭
本發明是經由實施例而非限制例而在附圖之圖式中加以說明,其中相同參考標號表示相同元件,其中:
圖1顯示一部分先前技術電容耦合電漿處理室之簡圖。
依據本發明之實施例,圖2顯示包含可動式RF接地電漿侷限組件之電漿處理室之簡部。
依據本發明之實施例,圖3顯示一替代或額外實施例,其包含用以縮短至接地之RF電流路徑的選擇性底部RF接點。
200...可動式RFG電漿侷限組件
202...可動式電漿侷限構造
204...可動式面向電漿構造
204a...圓柱形石英套筒
204b...水平石英環
206...可動式導電構造
212...可彎曲導電帶
214...間隔
214a...場線
214b...場線
214c...場線
220...環形外部區域
222...面向電漿區域
230...狹縫
232...環形接地

Claims (20)

  1. 一種可動式電漿侷限構造,其用以在基板之電漿處理期間將電漿侷限於電漿處理室中,包含:一可動式面向電漿構造,其係用來圍繞該電漿;及一可動式導電構造,其係配置於該可動式面向電漿構造之外部,且被裝設成與該可動式面向電漿構造一起展開及縮回如同單一單元,以促進該基板之處理,該可動式導電構造在該電漿處理期間為射頻(RF,radio frequency)接地,其中,在該電漿處理期間,該可動式面向電漿構造係配置於該電漿與該可動式導電構造之間,以使來自該電漿之RF電流在該電漿處理期間經由該可動式面向對電漿構造流至該可動式導電構造。
  2. 如申請專利範圍第1項之可動式電漿侷限構造,其中該可動式面向電漿構造為圓柱形構造且由抗該電漿之蝕刻之材料所形成。
  3. 如申請專利範圍第2項之可動式電漿侷限構造,其中該可動式面向電漿構造由石英形成。
  4. 如申請專利範圍第2項之可動式電漿侷限構造,其中該可動式面向電漿構造由摻雜SiC形成。
  5. 如申請專利範圍第1項之可動式電漿侷限構造,其中該可動式面向電漿構造係用來在該電漿處理期間屏蔽該可動式導電結構免於暴露至該電漿。
  6. 如申請專利範圍第1項之可動式電漿侷限構造,其中至少一狹縫形成於該可動式面向電漿構造以及該可動式電氣導電構造兩者中,以促進該電漿處理期間廢氣之移除。
  7. 如申請專利範圍第6項之可動式電漿侷限構造,其中該可動式面向電漿構造為圓柱形構造,且該至少一狹縫係沿著該圓柱形構造之軸配置。
  8. 如申請專利範圍第1項之可動式電漿侷限構造,更包含一易碎的RF接點,其係被配置成俾使當可動式導電構造展開時,經由該易碎之RF接點而形成至接地之路徑。
  9. 一種電漿處理系統,其係具有一電漿處理室,包含:一可動式面向電漿構造,其係用來圍繞一電漿,其中該電漿在基板之電漿處理期間產生於該電漿處理室內;一可動式導電構造,其係配置於該可動式面向電漿構造之外部,且被裝設成與該可動式面向電漿構造一起展開及縮回如同單一單元,以促進該基板之處理,該可動式導電構造在該電漿處理期間為射頻(RF)接地,其中,在該電漿處理期間,該可動式面向電漿構造係配置於該電漿與該可動式導電構造之間,以使來自該電漿之RF電流在該電漿處理期間經由該可動式面向電漿構造流至該可動式導電構造;及一導電帶組,其係連接至該可動式導電構造,其中當該可動式導電結構展開及縮回時,該導電帶組容納該可動式導電構造,同時提供該RF電流接地之低阻抗路徑。
  10. 如申請專利範圍第9項之電漿處理系統,其中該可動式面向電漿構造為圓柱形構造且由抗該電漿之蝕刻之材料所形成。
  11. 如申請專利範圍第10項之電漿處理系統,其中該可動式面向電漿構造由石英形成。
  12. 如申請專利範圍第10項之電漿處理系統,其中該可動式面向電漿構造由摻雜SiC形成。
  13. 如申請專利範圍第9項之電漿處理系統,其中該可動式面向電漿構造係用來在該電漿處理期間屏蔽該可動式導電結構免於暴露至該電漿。
  14. 如申請專利範圍第9項之電漿處理系統,其中至少一狹縫形成於該可動式面向電漿構造以及該可動式導電構造兩者中,以促進該電漿處理期間廢氣之移除。
  15. 如申請專利範圍第14項之電漿處理系統,其中該可動式面向電漿構造為圓柱形構造,且該至少一狹縫係沿著該圓柱形構造之軸配置。
  16. 如申請專利範圍第9項之電漿處理系統,更包含一易碎的RF接點,其被配置成俾使當可動式導電構造展開時,至該接地之該低阻抗路徑係經由該易碎之RF接點而形成。
  17. 一種製造具有電漿處理室之電漿處理系統的方法,包含:設置一可動式面向電漿構造,其係用來圍繞一電漿,其中該電漿係在基板之電漿處理期間產生於該電漿處理室內;將一可動式導電構造配置於該可動式面向電漿構造之外部,其中該可動式導電構造用以與該可動式面向電漿構造一起展開及縮回如同單一單元,以促進該基板之處理,該可動式導電構造在該電漿處理期間為射頻(RF)接地,其中,在該電漿處理期間,該可動式面向電漿構造係配置於該電漿與該可動式導電構造之間,以使來自該電漿之RF電流在該電漿處理期間經由該可動式面向電漿構造流至該可動式導電構造;及將一導電帶組連接至該可動式導電構造,其中當該可動式導電結構展開及縮回時,該導電帶組容納該可動式導電構造,同時提供該RF電流至接地之低阻抗路徑。
  18. 如申請專利範圍第17項之製造具有電漿處理室之電漿處理系統的方法,更包含將一易碎的RF接點配置於該可動式導電構造與該接地之間,以使當可動式導電構造展開時,至該接地之該低阻抗路徑係經由該易碎之RF接點而形成。
  19. 如申請專利範圍第18項之製造具有電漿處理室之電漿處理系統的方法,更包含將至少一狹縫形成於該可動式面向電漿構造以及該可動式導電構造兩者中,以促進該電漿處理期間廢氣之移除。
  20. 如申請專利範圍第17項之製造具有電漿處理室之電漿處理系統的方法,更包含將該導電帶組配置於該可動式導電構造之周圍附近,以提供複數個至該接地之低阻抗路徑。
TW098143675A 2008-12-19 2009-12-18 電漿處理系統內之電漿侷限構造 TWI511620B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13949108P 2008-12-19 2008-12-19
US12/361,494 US8540844B2 (en) 2008-12-19 2009-01-28 Plasma confinement structures in plasma processing systems

Publications (2)

Publication Number Publication Date
TW201034523A TW201034523A (en) 2010-09-16
TWI511620B true TWI511620B (zh) 2015-12-01

Family

ID=42264352

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098143675A TWI511620B (zh) 2008-12-19 2009-12-18 電漿處理系統內之電漿侷限構造

Country Status (7)

Country Link
US (2) US8540844B2 (zh)
JP (1) JP5662341B2 (zh)
KR (1) KR101626635B1 (zh)
CN (1) CN102246603B (zh)
SG (1) SG171840A1 (zh)
TW (1) TWI511620B (zh)
WO (1) WO2010071785A2 (zh)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
SG178286A1 (en) * 2009-08-31 2012-03-29 Lam Res Corp Radio frequency (rf) ground return arrangements
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
KR20110113066A (ko) * 2010-04-08 2011-10-14 삼성전자주식회사 반도체 제조 장치의 플라즈마 처리 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8906164B2 (en) * 2010-08-05 2014-12-09 Lam Research Corporation Methods for stabilizing contact surfaces of electrostatic chucks
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5710318B2 (ja) * 2011-03-03 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102363241B1 (ko) 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
EP3465727B1 (en) * 2016-06-03 2021-10-06 Evatec AG Plasma etch chamber and method of plasma etching
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11217434B2 (en) * 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102449621B1 (ko) 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
TW200703410A (en) * 2004-12-30 2007-01-16 Lam Res Corp Electrically enhancing the confinement of plasma
CN100342480C (zh) * 2001-04-30 2007-10-10 科林研发公司 采用较佳射频回路的等离子体密封

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59129872U (ja) * 1983-02-19 1984-08-31 日本真空技術株式会社 プラズマエツチング装置
JPH0722149B2 (ja) * 1983-11-28 1995-03-08 株式会社日立製作所 平行平板形ドライエッチング装置
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
DE3835153A1 (de) * 1988-10-15 1990-04-26 Leybold Ag Vorrichtung zum aetzen von substraten durch eine glimmentladung
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH0536808A (ja) 1991-07-18 1993-02-12 Toshiba Corp 半導体製造装置
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
TW323387B (zh) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3868020B2 (ja) * 1995-11-13 2007-01-17 キヤノンアネルバ株式会社 遠距離スパッタ装置及び遠距離スパッタ方法
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6407367B1 (en) * 1997-12-26 2002-06-18 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
JP2000030896A (ja) * 1998-07-10 2000-01-28 Anelva Corp プラズマ閉込め装置
JP3972970B2 (ja) * 1998-08-06 2007-09-05 株式会社エフオーアイ プラズマリアクタ
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
EP1073091A3 (en) 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
EP2233605B1 (en) * 2000-12-12 2012-09-26 Konica Corporation Optical film comprising an anti-reflection layer
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6645357B2 (en) * 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US7390366B2 (en) * 2001-11-05 2008-06-24 Jusung Engineering Co., Ltd. Apparatus for chemical vapor deposition
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US7048837B2 (en) * 2002-09-13 2006-05-23 Applied Materials, Inc. End point detection for sputtering and resputtering
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7686928B2 (en) * 2004-09-23 2010-03-30 Applied Materials, Inc. Pressure switched dual magnetron
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
KR100743840B1 (ko) 2004-11-03 2007-07-30 주식회사 뉴파워 프라즈마 마그네틱 코어가 내장된 플라즈마 반응 챔버
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
KR100621778B1 (ko) * 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7632377B2 (en) * 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8381677B2 (en) 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
CN102027574B (zh) * 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100992392B1 (ko) * 2008-05-09 2010-11-05 주식회사 디엠에스 플라즈마 반응장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100342480C (zh) * 2001-04-30 2007-10-10 科林研发公司 采用较佳射频回路的等离子体密封
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
TW200703410A (en) * 2004-12-30 2007-01-16 Lam Res Corp Electrically enhancing the confinement of plasma

Also Published As

Publication number Publication date
SG171840A1 (en) 2011-07-28
KR20110099698A (ko) 2011-09-08
JP5662341B2 (ja) 2015-01-28
JP2012513095A (ja) 2012-06-07
US8677590B2 (en) 2014-03-25
CN102246603A (zh) 2011-11-16
US20100154996A1 (en) 2010-06-24
KR101626635B1 (ko) 2016-06-13
US20140007413A1 (en) 2014-01-09
TW201034523A (en) 2010-09-16
CN102246603B (zh) 2014-07-16
WO2010071785A2 (en) 2010-06-24
US8540844B2 (en) 2013-09-24
WO2010071785A3 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
TWI511620B (zh) 電漿處理系統內之電漿侷限構造
US8627783B2 (en) Combined wafer area pressure control and plasma confinement assembly
KR101468340B1 (ko) 폴리머 증착을 감소시키는 rf 흡수 재료를 포함하는 플라즈마 한정링
JP5848140B2 (ja) プラズマ処理装置
JP5309164B2 (ja) ベベルエッジを洗浄する方法、ベベルエッチャ、及びベベルエッチャの設定可能パーツ
JP3100986U (ja) プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
JP6097471B2 (ja) 環状のバッフル
US7578946B2 (en) Plasma processing system and plasma processing method
TW201719709A (zh) 用以改良晶圓之極限邊緣處的特徵部輪廓偏斜之邊緣環組件
KR200335335Y1 (ko) 기판 지지 조립체
JP2024517302A (ja) 半導体プロセスデバイスにおける載置装置及び半導体プロセスデバイス
KR100489917B1 (ko) 플라즈마생성용코일을지지하는스탠드오프및코일지지방법
WO2013151124A1 (ja) プラズマ処理装置
JP3718093B2 (ja) 半導体製造装置
KR102582853B1 (ko) 반응 챔버 라이닝
US10854432B2 (en) Rotary plasma electrical feedthrough
JPH0878346A (ja) プラズマ成膜装置
TW202249171A (zh) 共用基板及陰影環提升設備
CN113035679A (zh) 一种等离子体处理装置