KR101626635B1 - 플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들 - Google Patents

플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들 Download PDF

Info

Publication number
KR101626635B1
KR101626635B1 KR1020117013896A KR20117013896A KR101626635B1 KR 101626635 B1 KR101626635 B1 KR 101626635B1 KR 1020117013896 A KR1020117013896 A KR 1020117013896A KR 20117013896 A KR20117013896 A KR 20117013896A KR 101626635 B1 KR101626635 B1 KR 101626635B1
Authority
KR
South Korea
Prior art keywords
plasma
movable
facing
conductive structure
plasma processing
Prior art date
Application number
KR1020117013896A
Other languages
English (en)
Other versions
KR20110099698A (ko
Inventor
에릭 허드슨
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110099698A publication Critical patent/KR20110099698A/ko
Application granted granted Critical
Publication of KR101626635B1 publication Critical patent/KR101626635B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53961Means to assemble or disassemble with work-holder for assembly
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/53983Work-supported apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 기판의 플라즈마 프로세싱 동안 플라즈마 프로세싱 챔버 내에 플라즈마를 한정하기 위해 구성된 이동식 플라즈마 한정 구조체에 관한 것이다. 이동식 플라즈마 한정 구조체는 플라즈마를 둘러싸도록 구성된 이동식 플라즈마-대면 구조체를 포함한다. 이동식 플라즈마 한정 구조체는 또한 이동식 플라즈마-대면 구조체의 외부에 배치되고, 기판의 핸들링을 용이하게 하게 위해 상기 이동식 플라즈마-대면 구조체와 함께 단일 유닛으로서 전개되고 후퇴하도록 구성된 이동식 도전성 구조체를 포함한다. 이동식 도전성 구조체는 플라즈마 프로세싱 동안 무선 주파수 (RF) 접지된다. 이동식 플라즈마-대면 구조체는 플라즈마 프로세싱 동안 플라즈마와 이동식 도전성 구조체 사이에 배치되어 플라즈마로부터의 RF 전류가 플라즈마 프로세싱 동안 이동식 플라즈마-대면 구조체를 통해 이동식 도전성 구조체로 흐르도록 한다.

Description

플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들 {PLASMA CONFINEMENT STRUCTURES IN PLASMA PROCESSING SYSTEMS}
플라즈마 프로세싱 시스템들은 플라즈마 프로세싱 시스템들 내의 기판들 (예컨대, 웨이퍼들) 을 처리하기 위해 오랫동안 사용되었다. 일반적인 플라즈마 프로세싱 챔버 내에서, 플라즈마는 플라즈마 한정 영역 내에서 점화되고 한정되며, 이 플라즈마 한정 영역은 통상적으로 챔버 상부 및 하부 구조체들뿐만 아니라 플라즈마 한정 영역을 고리형으로 둘러싸는 구조체들에 의해 정의된다.
기판들의 삽입 및 제거를 용이하게 할 뿐 아니라 플라즈마 프로세싱 챔버로부터 배기 가스의 배출을 용이하게 하기 위해, 다수의 챔버들은 플라즈마를 고리형으로 한정하기 위한 이동식 한정 링들의 세트를 사용한다. 이동식 한정 링들은 예컨대, 기판 삽입 및 제거를 용이하게 하기 위해 위쪽으로 들어 올려질 수 있다. 일반적으로 말하자면, 이동식 한정 링들의 인접하는 링들 사이의 간격은 (예컨대, 상기 간격을 플라즈마 쉬스보다 작게 함으로써) 플라즈마 확장에 대한 장애물을 제공하면서 상기 간격을 통해 배기 가스가 배출되는 것을 허용하도록 치수가 맞춰진다. 이러한 방식에서, 이동식 한정 링의 세트를 통해 배기 가스 제거가 발생하도록 하면서 플라즈마를 물리적으로 제한할 수 있다.
논의를 용이하게 하기 위해, 도 1은 종래 기술의 용량성-결합된 플라즈마 프로세싱 챔버 (100) 의 일부분의 간략화된 도면을 도시한다. 프로세싱 동안 기판 (비도시)을 지지하기 위한 하부 전극 (102) 이 도시된다. 하부 전극 (102) 은 일반적으로 플라즈마 (104) 를 생성 및 지속하기 위해 RF 전원 (비도시) 에 의해 작동된다. 공정 제어를 위해, 하부 전극 (102) 및 상부 전극 (106) (동일하거나 또 다른 RF 전원에 의해 접지되거나 작동될 수도 있음) 에 의해 일반적으로 정의되고 한정 링들 (110; 링들 (110a-d) 을 포함함) 의 세트에 의해 고리형으로 정의되는 플라즈마 한정 영역 내에 플라즈마 (104) 를 한정하는 것이 바람직하다. 전술된 것과 같이, 한정 링들 (110) 사이의 갭들은 플라즈마가 전술된 플라즈마 한정 영역 내에 한정되도록 하면서 배기 가스가 챔버로부터 펌핑되도록 한다. 한정 링들 (110) 은 석영과 같은 적절한 물질로 만들어질 수도 있다.
도 1의 예에서, 하부 전극 (102) 을 둘러싸는 고리형의 접지된 전극 (112) 이 도시된다. 고리형의 접지된 전극 (112) 은 챔버로부터 배기 가스를 배출하기 위한 추가의 플로우 채널들을 제공하도록 슬롯화될 수도 있다. 일반적으로 말하자면, 고리형의 접지된 전극 (112) 은 알루미늄과 같은 도전성 물질로 형성되고, 절연체 (비도시) 에 의해 하부 전극 (102) 으로부터 전기적으로 절연된다. 접지된 전극 (112) 을 접지하는 것은, 통상적으로, 하부 전극 (112) 밑에 배치된 도전성의 하부 접지 연장선에 대한 하나 이상의 스트랩들을 통해 접지된 전극 (112) 을 RF 접지에 결합함으로써 수행된다.
고리형의 접지된 전극 (112) 의 금속 물질이 부식성 플라즈마에 노출되고 플라즈마 공정을 오염시키는 것을 방지하기 위해, 고리형의 접지된 전극 (112) 의 표면은 석영과 같은 적절한 물질로 덮여질 수도 있다. 한정 링들 (110) 의 세트를 사용하는 경우에서와 같이, 고리형의 접지된 전극 (112) (및 위에 놓인 석영 층) 내의 슬롯들은 플라즈마가 플라즈마 한정 영역을 넘어서 확장하는 것을 방지하면서 배기 가스 배출을 허용하도록 치수가 맞춰진다. 플라즈마 프로세싱 챔버들 내에서 한정 링들 (110) 및 고리형의 접지된 전극 (112) 모두의 사용은 공지되어 있고, 본 명세서에서 추가로 설명되지 않을 것이다.
일반적으로 말하자면, 한정 링들 (110) 은 전기적으로 플로트하며 (floating) , 즉 DC 접지 또는 RF 접지에 직접 결합하지 않는다. 종래 기술에서 한정 링들 (110) 은 RF 접지로부터 어느 정도 떨어져 있으려 하기 때문에, 한정 링 세트를 통해 어떤 해당 RF 전류도 흐르지 않는다.
한정 링들 (110) 이 전기적으로 플로트하고 한정 링들 (110) 을 통해 어떤 해당 RF 전류도 흐르지 않기 때문에, 플라즈마 프로세싱 동안 한정 링들 (110) 의 표면에 저전압 "플로팅" 쉬스가 발생된다. 플라즈마로부터 가속된 이온들의 에너지는 쉬스 전위에 의해 통제되기 때문에, 낮은 쉬스 전위는 한정 링들의 표면 위에 낮은 에너지 레벨의 이온 충돌을 발생시킨다. 따라서, 스퍼터링 및 이온-강화 에칭과 같은 (인-시츄 플라즈마 세척 공정들 동안 발생하는 것과 같은) 막 제거 공정들은 한정 링들의 표면에서 상대적으로 비효율적이다. 추가로, 낮은 이온 충돌 에너지로 인해 프로세싱 이후에 한정 링들의 표면상에 더 많은 양의 증착이 남겨진다. 그에 비해, 더 높은 이온 충돌 에너지를 경험하는 챔버의 다른 영역들은 막 제거 공정들 동안 더 높은 막 제거율을 보여주고 기판 프로세싱 동안 더 낮은 레벨의 막 증착을 보여줄 것이다.
최종적인 결론은 한정 링들이 기판 프로세싱 동안 (더 높은 이온 충돌 에너지를 경험하는 챔버 영역들과 비교하여) 더 높은 레이트로 잔여물들을 퇴적시키는 경향이 있고, 이들 잔여물들은 플라즈마 인-시츄 챔버 세척 공정들 동안 (더 높은 이온 충돌 에너지를 경험하는 챔버 영역과 비교하여) 더 천천히 제거되는 경향이 있다는 것이다. 이들 요소들은 한정 링들을 만족스러운 조건에서 유지하기 위해 (웨이퍼 없는 자동-세척 또는 WAC 사이클들과 같은) 더 빈번한 및/또는 더 긴 인-시츄 챔버 세척 사이클들을 필요로 하며, 일부 경우에 한정 링들이 제거 및 세척되고 및/또는 대체될 수 있도록 전체적으로 홀트 (halting) 프로세싱도 요구할 수 있다. 결과적으로, 기판 스루풋율은 불리하게 감소되며, 플라즈마 프로세싱 툴에 대하여 더 낮은 생산성 및 더 높은 소유 비용을 초래한다.
일 실시형태에서, 본 발명은 기판의 플라즈마 프로세싱 동안 플라즈마 프로세싱 챔버 내에 플라즈마를 한정하기 위해 구성된 이동식 플라즈마 한정 구조체에 관한 것이다. 이동식 플라즈마 한정 구조체는 플라즈마를 둘러싸도록 구성된 이동식 플라즈마-대면 구조체를 포함한다. 이동식 플라즈마 한정 구조체는 또한 이동식 플라즈마-대면 구조체의 외부에 배치되고 기판의 핸들링을 용이하게 하기 위해 이동식 플라즈마-대면 구조체와 함께 단일 유닛으로서 전개되고 후퇴하도록 구성된 이동식 도전성 구조체를 포함한다. 이동식 도전성 구조체는 플라즈마 프로세싱 동안 무선 주파수 (RF) 접지된다. 이동식 플라즈마-대면 구조체는 플라즈마 프로세싱 동안 플라즈마와 이동식 도전성 구조체 사이에 배치되어 플라즈마로부터의 RF 전류가 플라즈마 프로세싱 동안 이동식 플라즈마-대면 구조체를 통해 이동식 도전성 구조체로 흐를 수 있게 한다.
이와 같은 요약은 본 명세서에 개시된 본 발명의 다수의 실시형태들 중 단 하나의 실시형태에 관한 것이며, 본 명세서의 청구항들에서 설명되는 본 발명의 범위를 제한하기 위한 것은 아니다. 본 발명의 상기 특징 및 다른 특징들은 하기의 본 발명의 상세한 설명에서 하기의 도면들과 함께 상세히 설명될 것이다.
본 발명은 첨부된 도면들 내에서 예로서 설명되지만 이에 제한되지 않으며, 상기 도면들 내에서 유사한 도면 부호들은 유사한 엘리먼트들을 지칭한다.
도 1은 종래 기술의 용량성-결합된 플라즈마 프로세싱 챔버의 일부분의 간략한 도면을 도시한다.
도 2는 본 발명의 일 실시형태에 따라, 이동식의 RF-접지된 플라즈마 한정 어셈블리를 포함하는 플라즈마 프로세싱 챔버의 간략한 부분을 도시한다.
도 3은 본 발명의 일 실시형태에 따라, 접지로의 RF 전류 경로를 단축하기 위한 선택적인 하부 RF 컨택트를 포함하는 선택적인 또는 추가의 실시형태를 도시한다.
본 발명은 첨부된 도면들에 도시된 것과 같이 그 실시형태들을 참조하여 상세히 설명될 것이다. 하기의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 특정 세부사항들이 설명된다. 그러나, 본 발명은 그 특정 세부사항들 중 일부 또는 전부 없이도 실행될 수 있음이 당업자에게 명백할 것이다. 다른 경우들에서, 공지되어 있는 공정 단계들 및/또는 구조체들은 불필요하게 본 발명을 모호하게 하지 않도록 상세히 설명되지 않을 것이다.
본 발명의 발명자들은 컴포넌트가 전기적으로 플로팅할 때, 즉 DC 또는 RF 접지에 결합되지 않을 때, 그 표면에 전개된 플라즈마 쉬스 전압은 그 전위가 상대적으로 낮다는 사실에 주목하였다. 이는 종래 기술의 한정 링들, 예컨대, 도 1의 한정 링들 (110) 을 갖는 경우이다. 대조적으로, 도 1의 고리형의 접지된 전극 (112) 의 상부에 얇은 석영 층이 존재하지만, 발명자들은 한정 링들 (110) 의 표면 위에서의 퇴적 속도와 비교할 때 잔여물이 고리형의 접지된 전극 (112) 의 표면 위에서 더 느린 퇴적 속도로 퇴적한다는 사실에 주목하였다. 석영 층은 DC 절연체로서 기능하지만, 석영 층이 상대적으로 얇다는 사실은 RF 전류가 얇은 석영 층을 통한 상대적으로 저 임피던스 경로를 통해 접지로 흐르는 것을 가능하게 하며, 그 결과 더 높은 플라즈마 쉬스 전압 및 부수적으로 더 높은 레벨의 이온 충돌을 발생시킨다.
이러한 이해로부터, 본 발명의 다음 실시형태들이 본 명세서에 개시된다. 일반적으로 말하자면, 본 발명의 하나 이상의 실시형태들은 플라즈마와 대면하는 한정 링들 및/또는 구조체들 상의 잔여물 퇴적을 감소시키면서 플라즈마 프로세싱 챔버 내의 기판들을 처리하기 위한 개선된 방법들 및 장치들에 관한 것이다. 하나 이상의 실시형태들에서, 상기와 같은 개선점은 플라즈마가 플라즈마 한정 영역 내에 한정되도록 하며, 즉 전술된 플라즈마 한정 영역 외부의 고리형 외부 영역 내에서 플라즈마가 한정되지 않는 경우가 발생할 가능성을 감소시킨다.
본 발명의 하나 이상의 실시형태들에서, 이동식의 RF-접지된 플라즈마 한정 어셈블리 (이동식 RFG 플라즈마 한정 어셈블리) 가 제공된다. 이동식 RFG 플라즈마 한정 어셈블리는 DC 절연되고 RF 접지에 잘-결합된 적어도 이동식 플라즈마 한정 구조체를 포함한다. 일 실시형태에서, 이동식 플라즈마 한정 구조체는 이동식 플라즈마-대면 구조체 (석영 또는 다른 유사한 적합한 물질로 형성될 수도 있음) 및 접지로의 RF 경로를 제공하기 위해 이동식 플라즈마-대면 구조체 뒷면에 결합된 이동식 도전성 구조체를 포함한다. 즉, 이동식 플라즈마-대면 구조체의 일 면은 플라즈마와 대면하고, 다른 면은 이동식 도전성 구조체에 결합되어, 이동식 플라즈마-대면 구조체에 의해 플라즈마로부터 차폐된다.
사실상, 열 팽창을 수용하기 위해 이동식 플라즈마-대면 구조체 및 이동식 도전성 구조체 사이에 작은 갭이 제공될 수 있다 (일 예에서, 약 0.01 인치). 그루브들, 숄더들, 패스너들, 스페이서들 및 다른 공지된 기계적인 결합 기술들, 즉 본 발명과 밀접한 관계가 없는 세부 사항들이 이동식 플라즈마-대면 구조체와 이동식 도전성 구조체의 결합을 달성하면서 전술된 열 평창 갭을 그 사이에 배치시키는 것을 달성하기 위해 사용될 수 있다.
이동식 플라즈마-대면 구조체 및 부속의 도전성 구조체를 포함하는 이동식 플라즈마 한정 구조체는 기판 삽입 및 제거 동안 단일 유닛으로서 위 아래로 이동한다. 일 실시형태에서, 플라즈마-대면 한정 구조체는 원통형의 석영 내부 슬리브에 해당하고, 도전성 구조체는 원통형의 석영 내부 슬리브의 외부에 배치되고 결합되는 원통형의 양극 처리된 알루미늄 외부 슬리브에 해당한다.
전술된 예는 절연 플라즈마-대면 구조체에 대하여 논의하지만, 플라즈마-대면 구조체는 절연되는 것에 제한되지 않는다. 일반적으로 말하자면, 임의의 플라즈마 저항성의 프로세스 호환가능한 구조체는 이동식 플라즈마 한정 구조체이기만 하면 전체적으로 플라즈마로부터의 RF 전류로 하여금 전술된 방식으로 통과하게 하며, 예컨대 이온 충돌을 개선하기 위해 높은 플라즈마 쉬스 전압의 생성을 용이하게 한다면 사용될 수 있다.
하나 이상의 실시형태들에서, 이동식 플라즈마 한정 구조체는 도전성이고 프로세스 호환가능한 물질로 형성된 (도핑된 SiC 또는 또 다른 프로세싱 호환가능한 물질로 형성될 수 있는) 이동식 플라즈마-대면 구조체 및 접지로의 RF 전류 경로를 제공하기 위해 이동식 플라즈마-대면 구조체에 결합된 이동식 도전성 지지 구조체를 포함한다. 일 실시형태에서, 플라즈마-대면 구조체는 도핑된-SiC 실린더에 해당하고, 도전성 구조체는 도핑된-SiC 실린더에 결합된 링 형의 양극 처리된 알루미늄 마운트에 해당한다. 일 실시형태에서, 플라즈마-대면 구조체는 도전성 구조체 위에 배치되고 결합된다.
이동식의 RFG 플라즈마 한정 어셈블리는 추가로 일반적으로 챔버 벽 또는 RF 접지에 결합된 또 다른 도전성 구조체인, RF 전류에 RF 접지로의 저 임피던스 경로를 제공하기 위해 이동식 도전성 구조체에 결합된 하나 이상의 플렉서블 도전성 스트랩들을 포함한다. 일 실시형태에서, 하나 이상의 추가의 RF 컨택트들은 선택적으로 이동식 플라즈마 한정 구조체가 기판 프로세싱 동안 전개된 위치에 있을 때 접지로의 RF 전류 경로를 단축하기 위해 제공될 수 있다. 하기에서 설명될 것과 같이, RF 전류 경로의 단축은 플라즈마가 존재할 때 플라즈마 한정 영역 외부의 고리형 외부 영역 내에서 용량성 결합 및 유도성 결합을 감소시키는 것을 지원하며, 따라서 상기 고리형 외부 영역 내의 비한정 플라즈마를 부주의로 점화 및/또는 지속하는 가능성을 감소시킨다.
본 발명의 실시형태들의 특징 및 장점들은 하기의 도면들 및 설명들을 참조하여 더 잘 이해될 수 있다.
도 2는 본 발명의 일 실시형태에 따라, 이동식의 RFG 플라즈마 한정 어셈블리 (200) 를 포함하는 플라즈마 프로세싱 챔버의 간략화된 부분을 도시한다. 이동식의 RFG 플라즈마 한정 어셈블리 (200) 는 이동식 플라즈마-대면 구조체 (204) 및 이동식 도전성 구조체 (206) 를 포함하는 이동식 플라즈마 한정 구조체 (202) 를 포함한다. 도 2의 예에서, 이동식 플라즈마-대면 구조체 (204) 는 원통형의 석영 슬리브 (204a) 및 수평의 석영 링 (204b) 에 의해 구현되지만, 플라즈마 공정과 호환가능한 임의의 다른 적절한 물질이 사용될 수 있다. 원통형의 석영 슬리브 (204a) 는 프로세싱 동안 플라즈마를 대면하지만, 수평의 석영 링 (204b) 는 플라즈마 프로세싱 및/또는 배기 가스 배출 동안 이동식 도전성 구조체 (206) 가 플라즈마로 노출되는 것을 방지한다.
이동식 도전성 구조체 (206) 는 도 2의 예에서 원통형의 석영 링 (204a) 뒤의 원통형의 양극 처리된 알루미늄 슬리브에 의해 구현된다. 양극 처리된 알루미늄이 사용되지만, 임의의 적절한 도전성 물질이 또한 사용될 수 있다. 이동식 도전성 구조체 (206) 는 원통형의 석영 링 (204a) 의 얇은 물질을 통과하는 RF 전류에 대한 저 임피던스 경로를 제공한다. 하나 이상의 플렉서블 도전성 스트랩들 (212) 은 이동식 도전성 구조체 (206) 를 도 2의 예에서 도시된 것과 같은 챔버 톱 플레이트와 같은 접지된 챔버 컴포넌트 또는 일부 다른 접지된 챔버 컴포넌트에 결합한다. 일 실시형태에서, 다수의 도전성 스트랩들은 접지로의 다수의 경로들을 제공하기 위한 원통형의 양극 처리된 알루미늄 슬리브 및 귀환하는 RF 전류에 대한 원통형 대칭의 원주 주위에 배치될 수 있다. 전술된 것과 같이, 일부 경우에 이동식 플라즈마-대면 구조체 (204) 및 이동식 도전성 구조체 (206) 사이에 열 팽창 갭을 제공하는 것은 바람직하다.
챔버로의 기판 삽입 및 제거를 용이하게 하기 위해, 이동식 플라즈마 한정 구조체 (202) (이동식 플라즈마-대면 구조체 (204) 및 이동식 도전성 구조체 (206) 모두를 포함함) 는 요구되는 바에 따라 위 아래로 이동될 수 있다. 위/아래 방향으로의 선형 이동을 달성하기 위해 사용되는 특정 메커니즘은 종래기술에서 설명된 전자-기계식, 공기-구동식, 등을 포함하는 임의의 타입의 트랜스듀서 및/또는 전동장치 (gearing) 가 될 수 있다. 플렉서블 도전성 스트랩 (212) 은 접지로의 바람직한 저 임피던스 경로를 유지하면서 이동식 플라즈마 한정 구조체 (202) 의 이동을 수용하도록 가요성이다.
플라즈마 프로세싱 동안, 이동식 플라즈마 한정 구조체 (202) 는 도 2에 도시된 것과 같이 전개된 위치로 내려진다. 배기 가스는 이동식 플라즈마 한정 구조체 (202) 아래에 존재하는 갭 (214)을 통해 배출될 수 있다. 갭 (214) 의 크기는 플라즈마 한정 영역 (222) 외부의 고리형 외부 영역 (220) 내에서 플라즈마가 한정되지 않는 경우의 발생을 방지하기 위해 프라즈마 확장에 대한 장벽을 제공하면서 배기 가스 배출을 허용하도록 치수가 맞춰질 수 있다. 바람직한 경우에, 배기 가스 컨덕턴스를 증가시키기 위해 이동식 플라즈마 한정 구조체 (202) 및 이동식 도전성 구조체 (206) 를 통해 추가의 슬롯들 또는 홀들이 형성될 수 있다. 상기 슬롯은 도 2의 예에서 슬롯 (230) 으로 도시된다. 슬롯들은 수직 및 수평으로 배향되거나, 두 방향 모두로 배향될 수도 있다. 추가로, 슬롯들은 종래 기술에서 설명된 것과 같이, 플라즈마 한정을 유지하면서 추가의 배기 가스 컨덕턴스를 제공하기 위해 고리형 접지 (232) 내에 배치될 수 있다.
저 임피던스의 RF 전류 경로가 접지로 향하기 때문에, 플라즈마-대면 구조체 (204) 의 표면에서 전개된 플라즈마 쉬스 전압은 도 1의 한정 링들이 전기적으로 플로팅하는 경우보다 더 높을 것이다. 더 높은 플라즈마 쉬스 전압으로 인해 더 높은 이온 충돌 에너지가 발생하며, 이는 프로세싱 동안 플라즈마-대면 구조체 (204) 상의 잔여물 퇴적을 감소시키고, 플라즈마 세척 공정들 동안 잔여물 제거를 증가시킨다. 추가로, 더 높은 이온 에너지 충돌은 이동식 플라즈마 한정 구조체 (202) 의 플라즈마-대면 표면들을 가열하며, 추가로 프로세싱 동안 잔여물 퇴적의 감소 및 플라즈마 세척 공정들 동안 잔여물 제거의 증가를 돕는다.
도전성 구조체 (206) 는 또한 고리형 외부 영역 (220) 내의 원하지 않는 비한정 플라즈마 이벤트들의 발생을 감소시킨다. 도 1을 다시 참조하여, 석영 한정 링들 (110) 이 전기적으로 플로팅하기 때문에, 용량성 필드는 플라즈마로부터 방사하여 한정 링들 (110) 의 외부의 접지된 챔버 표면들 (138) 에서 종료하는 필드 라인들로 형성된다. 이들 필드 라인들은 예컨대, 필드 라인들 (140a-d) 로 도시된다. 플라즈마 한정 영역 (144) 외부의 고리형 외부 영역 (142) 내의 용량성 필드의 존재는 고리형 외부 영역 (142) 내에서 플라즈마가 부주의로 점화되고 및/또는 지속될 수 있는 가능성, 즉 플라즈마가 한정되지 않는 경우를 증가시킨다.
그러나, 도 2의 실시형태에서, 플라즈마로부터 방사하는 대부분의 용량성 필드 라인들은 이동식 도전성 구조체 (206) 에서 종료한다 (필드 라인들 (214a-c) 로 도시됨). 몇몇 필드 라인들이 다른 접지된 컴포넌트들에서 종료하기 위해 이동식 플라즈마 한정 구조체 (202) 아래의 이동식 플라즈마-대면 구조체 (204) 및 갭 (214) 을 통과할 수 있지만, 필드 라인들 (214a-c) 이 더 이상 고리형의 외부 영역 (220)을 통과하지 않는다는 사실은 고리형의 외부 영역 (220) 내의 용량성 필드의 존재를 실질적으로 감소 및/또는 제거한다. 고리형의 외부 영역 (220) 내의 용량성 필드의 존재를 실질적으로 감소 및/또는 제거함으로써 비한정 플라즈마가 고리형 외부 영역 (220) 내에 형성 및/또는 지속될 수 있는 가능성은 적어진다.
도 3은 본 발명의 일 실시형태에 따라, 접지로의 RF 전류 경로를 단축하고, 따라서 고리형 외부 영역 (220) 내의 접지-전류 유도성 필드를 감소시키고, 추가로 비한정 플라즈마 형성의 가능성을 감소시키기 위한 선택적인 하부 RF 컨택트를 포함하는 선택적인 또는 추가의 실시형태를 도시한다. 도 1을 다시 참조하여, 플라즈마로부터의 RF 전류는 화살표 (150) 에 의해 도시된 저 임피던스 경로를 따라 흐른다. 상기 RF 전류는 고리형 외부 영역 (142) 과 인접한 챔버 벽들을 따라 흐르며, 고리형 외부 영역 (142) 내에 비한정 플라즈마의 형성을 조장하는 유도성 필드를 형성한다.
도 3에서, 끊어질 수 있는 RF 컨택트 (302a) 가 이동식 도전성 구조체 (206) 하부에 제공된다. 또 다른 상응하는 끊어질 수 있는 RF 컨택트 (302b) 는 RF 접지에 결합된다. 양호한 RF 컨택트를 보장하기 위해, 이동식 플라즈마 한정 구조체가 펼쳐지고 RF 접속이 요구될 때 2개의 RF 컨택트들 (302a 및 302b) 을 함께 압박하기 위해 스프링 또는 다른 탄력 있는 메커니즘이 사용될 수 있다. 일 실시형태에서, RF 컨택트들 (302a 및 302b) 중 하나 또는 각각은 적어도 부분적으로 홀 또는 오목한 부분 (depression) 내에 장착될 수 있고, 스프링 또는 탄력 있는 메커니즘은 이동식 도전성 구조체 (206) 를 포함하는 이동식 플라즈마 한정 구조체가 전개된 위치일 때 양호한 RF 접속을 보장하기 위해 RF 컨택트들을 그 대응부 쪽으로 압박하도록 상기 오목한 부분 또는 홀의 하부에서 RF 컨택트 아래 배치된다. 설명의 명확성을 위해, 이동식 플라즈마 한정 구조체는 도 3의 부분적으로 전개된 위치에서 도시되고, RF 컨택트들은 아직 서로 물리적으로 접촉하지 않는다.
도 3의 예에서, 상응하는 끊어질 수 있는 RF 컨택트 (302b) 는 고리형의 접지된 전극 (304) 의 도전성 물질에 결합된다. 일 실시형태에서, SiC는 끊어질 수 있는 RF 컨택트들을 위해 사용되지만, 플라즈마 공정과 호환 가능하고 반복되는 접촉 및 차단을 견디기에 충분히 내구성 있는 임의의 다른 도전성 물질이 사용될 수 있다.
플라즈마 프로세싱 동안, 이동식 플라즈마 한정 구조체는 다운 위치 또는 전개된 위치에서 전개되며, 끊어질 수 있는 RF 컨택트들 (302a 및 302b) 은 RF 전류가 표면 대면 플라즈마 영역 (222) 에서 화살표 (320) 방향으로 흐르도록 하기 위해 함께 압박된다. 도 3에 있어서 RF 전류는 RF 접지로의 경로 상에서 이동식 도전성 구조체 (206) 및 RF 컨택트들 (302a/302b) 을 통과함에 주목한다. 이는 도 1에서의 상황과는 대조적으로 RF 전류가 외부 고리형 영역 (142) 에 인접한 챔버 표면들을 따라 흐른다 (도 1에 화살표 (150) 로 도시). RF 전류가 외부 고리형 영역 (220) 에 인접한 챔버 표면들을 따라 흐르지 않기 때문에, 오히려 전도성 구조체 (206) 의 내부 플라즈마-대면 표면에서, 원하지 않는 비한정 플라즈마의 형성 또는 지속을 촉진하는 어떠한 유도성 필드도 상기 외부 고리형 영역 (220) 내에 형성되지 않는다.
전술한 설명으로부터 인식될 수 있는 것과 같이, 본 발명의 실시형태들은 프로세싱 동안 플라즈마 한정 구조체 표면들 위의 잔여물 형성을 감소시키고, 인-시츄 플라즈마 세척 공정들 동안 잔여물 제거를 개선하는데 기여한다. 플라즈마로부터 방사하는 용량성 필드 라인들을 종료하기 위한 이동식 도전성 구조체의 존재는 원하지 않는 비한정 플라즈마가 용량성 필드에 의해 외부 고리형 영역 내에서 점화 및/또는 지속될 가능성을 감소시킨다. 만약 끊어질 수 있는 RF 컨택트가 전술된 것과 같이 제공되면, 외부 고리형 영역에 인접한 챔버 벽 표면들을 우회하는 접지로의 더 짧은 RF 전류 경로는 실질적으로 원하지 않는 비한정 플라즈마가 용량성 필드에 의해 외부 고리형 영역 내에서 점화 및/또는 지속한다. 상기와 같은 개선점들은 웨이퍼 스루풋 및 공정 제어를 개선하는 것을 지원하며, 따라서 소유 총비용이 감소되고 수율이 개선된다.
본 발명은 몇몇 실시형태들과 관련하여 설명되지만, 본 발명의 범위 내에서 그 변경물들, 치환물들 및 등가물들이 발생시킨다. 본 발명의 방법 및 장치들을 구현하는 다수의 선택적인 방식들이 존재한다는 점에 유의하여야 한다. 본 명세서 내에서 다양한 예들이 제공되지만, 상기 예들은 본 발명과 관련하여 설명하기 위한 것이며 본 발명을 제한하는 것은 아니다. 추가로, 본 발명의 실시형태들은 다른 출원들에서 사용되는 것을 발견할 수 있다.
또한, 본 명세서에서 편리함을 위해 발명의 명칭 및 요약이 제공되며, 이들은 본 명세서 내의 청구항들의 범위를 제한하기 위해 사용되는 것은 아니어야 한다. 추가로, 매우 축약된 형태로 요약서가 기재되며, 이는 본 명세서 내에서 편리함을 위해 제공되고, 따라서 청구범위들에서 표현되는 전반적인 발명을 이해하거나 한정하기 위해 사용되는 것은 아니어야 한다. 본 발명의 방법들 및 장치들을 구현하기 위해 다수의 선택적인 방식들이 존재함에 유의하여야 한다. 본 명세서 내에서 용어 "세트 (set)" 가 사용되는 경우에, 상기 용어는 0, 1 또는 1 이상의 멤버를 커버하기 위한 공통으로 이해되는 수학적인 의미를 가지는 것으로 의도된다. 따라서 하기의 첨부된 청구항들은 본 발명의 사상 및 범위 내에 있기 때문에 그러한 변경물들, 치환물들 및 등가물들을 포함하는 것으로 해석될 수 있다.

Claims (20)

  1. 기판의 플라즈마 프로세싱 동안 플라즈마 프로세싱 챔버 내에 플라즈마를 한정하기 위해 구성된 이동식 플라즈마 한정 구조체로서,
    상기 플라즈마를 둘러싸도록 구성된 이동식 플라즈마-대면 (plasma-facing) 구조체; 및
    상기 이동식 플라즈마-대면 구조체의 외부에 배치되고, 상기 이동식 플라즈마-대면 구조체와 함께 단일 유닛으로서, 상기 기판의 핸들링을 용이하게 하기 위해 전개되고 후퇴하도록 구성된 이동식 도전성 구조체를 포함하며,
    상기 이동식 도전성 구조체는 상기 플라즈마 프로세싱 동안 무선 주파수 (RF) 접지되고, 상기 이동식 플라즈마-대면 구조체는 상기 플라즈마 프로세싱 동안 상기 플라즈마와 상기 이동식 도전성 구조체 사이에 배치되어 상기 플라즈마로부터의 RF 전류가 상기 플라즈마 프로세싱 동안 상기 이동식 플라즈마-대면 구조체를 통해 상기 이동식 도전성 구조체로 흐르도록 하고,
    상기 이동식 플라즈마-대면 구조체는 원통형의 구조체이며, 상기 플라즈마에 의한 에칭에 내성이 있는 물질로 형성되는, 이동식 플라즈마 한정 구조체.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 석영으로 형성되는, 이동식 플라즈마 한정 구조체.
  4. 제 1 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 도핑된-SiC로 형성되는, 이동식 플라즈마 한정 구조체.
  5. 제 1 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 상기 플라즈마 프로세싱 동안 상기 이동식 도전성 구조체가 상기 플라즈마로 노출되는 것을 차폐하도록 구성되는, 이동식 플라즈마 한정 구조체.
  6. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 동안 배기 가스의 제거를 용이하게 하기 위해 상기 이동식 플라즈마-대면 구조체 및 상기 이동식 도전성 구조체 모두 내에 적어도 하나의 슬롯이 형성되는, 이동식 플라즈마 한정 구조체.
  7. 제 6 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 원통형의 구조체이고, 상기 적어도 하나의 슬롯은 상기 원통형 구조체의 축을 따라 배치되는, 이동식 플라즈마 한정 구조체.
  8. 제 1 항에 있어서,
    끊어질 수 있는 RF 컨택트를 더 포함하며, 상기 끊어질 수 있는 RF 컨택트는 상기 이동식 도전성 구조체가 전개될 때 상기 접지로의 경로가 상기 끊어질 수 있는 RF 컨택트를 통해 형성되도록 배치되는, 이동식 플라즈마 한정 구조체.
  9. 플라즈마 프로세싱 챔버를 구비한 플라즈마 프로세싱 시스템으로서,
    상기 플라즈마 프로세싱 챔버 내의 기판의 플라즈마 프로세싱 동안 생성되는 플라즈마를 둘러싸도록 구성된 이동식 플라즈마-대면 구조체;
    상기 이동식 플라즈마-대면 구조체의 외부에 배치되고, 상기 이동식 플라즈마-대면 구조체와 함께 단일 유닛으로서, 상기 기판의 핸들링을 용이하게 하기 위해 전개되고 후퇴하도록 구성된 이동식 도전성 구조체로서, 상기 이동식 도전성 구조체는 상기 플라즈마 프로세싱 동안 무선 주파수 (RF) 접지되고, 상기 이동식 플라즈마-대면 구조체는 상기 플라즈마 프로세싱 동안 상기 플라즈마 및 상기 이동식 도전성 구조체 사이에 배치되어 상기 플라즈마로부터의 RF 전류가 상기 플라즈마 프로세싱 동안 상기 이동식 플라즈마-대면 구조체를 통해 상기 이동식 도전성 구조체로 흐르도록 하는, 상기 이동식 도전성 구조체; 및
    상기 이동식 도전성 구조체에 결합되고, 상기 이동식 도전성 구조체가 상기 RF 전류에 접지로의 저 임피던스 경로를 제공하는 동안 전개되고 후퇴될 때 상기 이동식 도전성 구조체를 수용하는 도전성 스트랩 세트를 포함하고,
    상기 이동식 플라즈마-대면 구조체는 원통형의 구조체이고, 상기 플라즈마에 의한 에칭에 내성이 있는 물질로 형성되는, 플라즈마 프로세싱 시스템.
  10. 삭제
  11. 제 9 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 석영으로 형성되는, 플라즈마 프로세싱 시스템.
  12. 제 9 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 도핑된-SiC로 형성되는, 플라즈마 프로세싱 시스템.
  13. 제 9 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 상기 플라즈마 프로세싱 동안 상기 이동식 도전성 구조체가 상기 플라즈마로 노출되는 것을 차폐하도록 구성되는, 플라즈마 프로세싱 시스템.
  14. 제 9 항에 있어서,
    상기 플라즈마 프로세싱 동안 배기 가스의 제거를 용이하게 하기 위해 상기 이동식 플라즈마-대면 구조체 및 상기 이동식 도전성 구조체 양쪽에 적어도 하나의 슬롯이 형성되는, 플라즈마 프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 이동식 플라즈마-대면 구조체는 원통형의 구조체이고, 상기 적어도 하나의 슬롯은 상기 원통형 구조체의 축을 따라 배치되는, 플라즈마 프로세싱 시스템.
  16. 제 9 항에 있어서,
    끊어질 수 있는 RF 컨택트를 더 포함하며, 상기 끊어질 수 있는 RF 컨택트는 상기 이동식 도전성 구조체가 전개될 때 상기 접지로의 상기 저 임피던스 경로가 상기 끊어질 수 있는 RF 컨택트를 통해 형성되도록 배치되는, 플라즈마 프로세싱 시스템.
  17. 플라즈마 프로세싱 챔버를 구비한 플라즈마 프로세싱 시스템을 제작하기 위한 방법으로서,
    상기 플라즈마 프로세싱 챔버 내의 기판의 플라즈마 프로세싱 동안 생성되는 플라즈마를 둘러싸도록 구성된 이동식 플라즈마-대면 구조체를 제공하는 단계;
    상기 이동식 플라즈마-대면 구조체의 외부에 이동식 도전성 구조체를 배치하는 단계로서, 상기 이동식 도전성 구조체는 상기 이동식 플라즈마-대면 구조체와 함께 단일 유닛으로서, 상기 기판의 핸들링을 용이하게 하기 위해 전개되고 후퇴하도록 구성되고, 상기 이동식 도전성 구조체는 상기 플라즈마 프로세싱 동안 무선 주파수 (RF) 접지되며, 상기 이동식 플라즈마-대면 구조체는 상기 플라즈마 프로세싱 동안 상기 플라즈마와 상기 이동식 도전성 구조체 사이에 배치되어 상기 플라즈마로부터의 RF 전류가 상기 플라즈마 프로세싱 동안 상기 이동식 플라즈마-대면 구조체를 통해 상기 이동식 도전성 구조체로 흐르도록 하는, 상기 이동식 도전성 구조체를 배치하는 단계; 및
    상기 이동식 도전성 구조체에 도전성 스트랩 세트를 결합하는 단계로서, 상기 이동식 도전성 구조체는 상기 RF 전류에 접지로의 저 임피던스 경로를 제공하는 동안 전개되고 후퇴될 때 상기 이동식 도전성 구조체를 수용하는, 상기 도전성 스트랩 세트를 결합하는 단계를 포함하고,
    상기 이동식 플라즈마-대면 구조체는 원통형의 구조체이고, 상기 플라즈마에 의한 에칭에 내성이 있는 물질로 형성되는, 플라즈마 프로세싱 시스템 제작 방법.
  18. 제 17 항에 있어서,
    상기 이동식 도전성 구조체가 전개될 때 상기 접지로의 상기 저 임피던스 경로가 끊어질 수 있는 RF 컨택트를 통해 형성되도록 상기 이동식 도전성 구조체와 상기 접지 사이에 상기 끊어질 수 있는 RF 컨택트를 배치하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템 제작 방법.
  19. 제 18 항에 있어서,
    상기 플라즈마 프로세싱 동안 배기 가스의 제거를 용이하게 하기 위해 상기 이동식 플라즈마-대면 구조체와 상기 이동식 도전성 구조체 양쪽에 적어도 하나의 슬롯을 형성하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템 제작 방법.
  20. 제 17 항에 있어서,
    상기 접지로의 다수의 저 임피던스 경로들을 제공하기 위해 상기 이동식 도전성 구조체의 원주 주변에 상기 도전성 스트랩 세트를 배치하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템 제작 방법.
KR1020117013896A 2008-12-19 2009-12-16 플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들 KR101626635B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13949108P 2008-12-19 2008-12-19
US61/139,491 2008-12-19
US12/361,494 US8540844B2 (en) 2008-12-19 2009-01-28 Plasma confinement structures in plasma processing systems
US12/361,494 2009-01-28

Publications (2)

Publication Number Publication Date
KR20110099698A KR20110099698A (ko) 2011-09-08
KR101626635B1 true KR101626635B1 (ko) 2016-06-13

Family

ID=42264352

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117013896A KR101626635B1 (ko) 2008-12-19 2009-12-16 플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들

Country Status (7)

Country Link
US (2) US8540844B2 (ko)
JP (1) JP5662341B2 (ko)
KR (1) KR101626635B1 (ko)
CN (1) CN102246603B (ko)
SG (1) SG171840A1 (ko)
TW (1) TWI511620B (ko)
WO (1) WO2010071785A2 (ko)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
SG178286A1 (en) * 2009-08-31 2012-03-29 Lam Res Corp Radio frequency (rf) ground return arrangements
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
KR20110113066A (ko) * 2010-04-08 2011-10-14 삼성전자주식회사 반도체 제조 장치의 플라즈마 처리 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8906164B2 (en) * 2010-08-05 2014-12-09 Lam Research Corporation Methods for stabilizing contact surfaces of electrostatic chucks
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5710318B2 (ja) * 2011-03-03 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102363241B1 (ko) 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
EP3465727B1 (en) * 2016-06-03 2021-10-06 Evatec AG Plasma etch chamber and method of plasma etching
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11217434B2 (en) * 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102449621B1 (ko) 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058298A (ja) * 1998-08-06 2000-02-25 Foi:Kk プラズマリアクタ
JP2004515910A (ja) * 2000-09-28 2004-05-27 ラム リサーチ コーポレーション プラズマを閉じ込める処理室構成

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59129872U (ja) * 1983-02-19 1984-08-31 日本真空技術株式会社 プラズマエツチング装置
JPH0722149B2 (ja) * 1983-11-28 1995-03-08 株式会社日立製作所 平行平板形ドライエッチング装置
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
DE3835153A1 (de) * 1988-10-15 1990-04-26 Leybold Ag Vorrichtung zum aetzen von substraten durch eine glimmentladung
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH0536808A (ja) 1991-07-18 1993-02-12 Toshiba Corp 半導体製造装置
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
TW323387B (ko) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3868020B2 (ja) * 1995-11-13 2007-01-17 キヤノンアネルバ株式会社 遠距離スパッタ装置及び遠距離スパッタ方法
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6407367B1 (en) * 1997-12-26 2002-06-18 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
JP2000030896A (ja) * 1998-07-10 2000-01-28 Anelva Corp プラズマ閉込め装置
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
EP1073091A3 (en) 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
EP2233605B1 (en) * 2000-12-12 2012-09-26 Konica Corporation Optical film comprising an anti-reflection layer
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6645357B2 (en) * 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US7390366B2 (en) * 2001-11-05 2008-06-24 Jusung Engineering Co., Ltd. Apparatus for chemical vapor deposition
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US7048837B2 (en) * 2002-09-13 2006-05-23 Applied Materials, Inc. End point detection for sputtering and resputtering
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7686928B2 (en) * 2004-09-23 2010-03-30 Applied Materials, Inc. Pressure switched dual magnetron
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
KR100743840B1 (ko) 2004-11-03 2007-07-30 주식회사 뉴파워 프라즈마 마그네틱 코어가 내장된 플라즈마 반응 챔버
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
KR100621778B1 (ko) * 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7632377B2 (en) * 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8381677B2 (en) 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
CN102027574B (zh) * 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100992392B1 (ko) * 2008-05-09 2010-11-05 주식회사 디엠에스 플라즈마 반응장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101091309B1 (ko) * 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058298A (ja) * 1998-08-06 2000-02-25 Foi:Kk プラズマリアクタ
JP2004515910A (ja) * 2000-09-28 2004-05-27 ラム リサーチ コーポレーション プラズマを閉じ込める処理室構成

Also Published As

Publication number Publication date
SG171840A1 (en) 2011-07-28
KR20110099698A (ko) 2011-09-08
JP5662341B2 (ja) 2015-01-28
JP2012513095A (ja) 2012-06-07
US8677590B2 (en) 2014-03-25
CN102246603A (zh) 2011-11-16
US20100154996A1 (en) 2010-06-24
TWI511620B (zh) 2015-12-01
US20140007413A1 (en) 2014-01-09
TW201034523A (en) 2010-09-16
CN102246603B (zh) 2014-07-16
WO2010071785A2 (en) 2010-06-24
US8540844B2 (en) 2013-09-24
WO2010071785A3 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
KR101626635B1 (ko) 플라즈마 프로세싱 시스템들 내의 플라즈마 한정 구조체들
KR101630892B1 (ko) 결합형 웨이퍼 영역 압력 제어 및 플라즈마 한정 어셈블리
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
JP3100986U (ja) プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
US7837826B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US6872281B1 (en) Chamber configuration for confining a plasma
CN107396526B (zh) 静电远程等离子体源
WO2000019481A2 (en) Low contamination high density plasma processing chamber and methods for processing a semiconductor substrate
JP2008511175A (ja) プラズマチャンバ内部で使用するためのイットリア絶縁体リング
JPH0851101A (ja) プラズマ処理システムにおけるアークの抑制
JP2019054274A (ja) 環状のバッフル
US8342121B2 (en) Plasma processing apparatus
CN117096006A (zh) 等离子体约束系统及方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190517

Year of fee payment: 4