TWI492299B - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
TWI492299B
TWI492299B TW101136964A TW101136964A TWI492299B TW I492299 B TWI492299 B TW I492299B TW 101136964 A TW101136964 A TW 101136964A TW 101136964 A TW101136964 A TW 101136964A TW I492299 B TWI492299 B TW I492299B
Authority
TW
Taiwan
Prior art keywords
gas
etching
deposition
plasma
semiconductor device
Prior art date
Application number
TW101136964A
Other languages
English (en)
Other versions
TW201332012A (zh
Inventor
Kazuhito Tohnoe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201332012A publication Critical patent/TW201332012A/zh
Application granted granted Critical
Publication of TWI492299B publication Critical patent/TWI492299B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置之製造方法
本發明關於一種具有藉由電漿來進行蝕刻的電漿蝕刻工序之半導體裝置的製造方法。
在半導體裝置的製造領域中,已多方嘗試藉由半導體裝置的微細化來提升集積度。又,近年來,盛行嘗試藉由稱作三維組裝之半導體裝置的層積,來提升每單位面積的集積度。
所層積之半導體裝置係具備有貫穿例如矽層構成的基板所形成之電極,並透過該電極而被加以電連接。形成貫穿上述般基板之電極時,係使用塗佈裝置來將阻劑塗佈在基板,並使用曝光裝置來進行曝光後,藉由顯影裝置來進行顯影,而形成阻膜所構成的阻劑圖型。然後,以形成的阻劑圖型作為遮罩,並使用例如電漿蝕刻裝置來蝕刻基板,藉以形成貫穿孔或通孔(via hole)等之孔部。
最近,被要求形成具有100μm以上的深度尺寸之孔部,且長時間地進行電漿蝕刻。又,由於最近的半導體裝置被要求更加微細化,因而被要求形成具有10~20μm左右的較小直徑尺寸之孔部。但隨著半導體裝置的微細化,為了確保形狀精確度,而必須使得阻膜的厚度較薄。另一方面,矽層的蝕刻速度相對於阻膜的蝕刻速度,亦即選擇比並不十分高。因此,若長時間進行電漿蝕刻,便會有遮罩被去除之問題。
因此,在形成具有較小內徑尺寸與較大深度尺寸,且深度尺寸相對於內徑尺寸的比(深寬比)較大之孔部時,便有取代阻膜而使用矽氧化膜來作為遮罩的情況(例如,參閱專利文獻1。)。由於矽氧化膜係相對於矽層而具有較阻膜要高之選擇比,因此縱使長時間進行電漿蝕刻,仍可防止遮罩被去除。
專利文獻1:日本特開平11-97414號公報
在包含有於阻劑圖型的上面及側壁形成保護膜之步驟,與之後,電漿蝕刻矽層之步驟之傳統的蝕刻製程中,為了使保護膜縱使長時間曝露在電漿中仍能夠承受,而不得不使所形成之保護膜較厚。如此一來,由於形成保護膜之步驟所需的時間會增加,因此電漿蝕刻整體的所需時間亦會增加。因而便無法高速地蝕刻矽層,導致半導體裝置的生產性降低。
又,伴隨著所形成之孔部直徑尺寸的小徑化,形成於孔部側壁之保護膜的厚度尺寸相對於孔部的直徑尺寸之比率亦變大。因此,便會因沿著孔部深度方向之保護膜的厚度尺寸變異等,而變得難以獲得垂直的側壁形狀。再者,伴隨著所形成之孔部直徑尺寸的小徑化,保護膜沉積用的蝕刻氣體會不易到達孔部側壁,而使得保護膜的形成變得困難。其結果,便無法抑制底切(under cut)的發生,且難以使孔部側壁相對於基板表面垂直地形成。
本發明有鑑於該等問題點,其目的在於提供一種具有在蝕刻形成有阻劑圖型的基板表面來形成孔部之情況下,可相對於基板表面垂直地形成孔部的側壁且高速地蝕刻矽層之電漿蝕刻工序之半導體裝置的製造方法。
該半導體裝置之製造方法係具有將於矽層的主面形 成有經圖案化成特定圖案後的阻劑層之被處理基板保持在處理容器內,並以該阻劑層作為遮罩來電漿蝕刻該矽層之電漿蝕刻步驟;其中該電漿蝕刻步驟具有以下步驟:第1蝕刻步驟,係將以特定比率混合後之沉積性氣體及蝕刻性氣體的混合氣體導入該處理容器內,並在該混合氣體氛圍下電漿蝕刻該被處理基板;以及重複複數次沉積步驟及第2蝕刻步驟之步驟,該沉積步驟係將該沉積性氣體導入該處理容器內,並在該沉積性氣體為主體之氛圍下沉積處理藉由該第1蝕刻步驟而被電漿蝕刻後的被處理基板,該第2蝕刻步驟係將該蝕刻性氣體導入該處理容器內,並在該蝕刻性氣體為主體之氛圍下電漿蝕刻藉由該沉積步驟而被沉積處理後的被處理基板。
依據本發明,便可提供一種具有可相對於基板表面垂直地形成孔部的側壁且高速地蝕刻矽層之電漿蝕刻工序之半導體裝置的製造方法。
1‧‧‧處理室
2‧‧‧載置台
15‧‧‧處理氣體供應源
16‧‧‧噴淋頭
10a‧‧‧第1高頻電源
10b‧‧‧第2高頻電源
60‧‧‧控制部
200‧‧‧電漿蝕刻裝置
W‧‧‧半導體晶圓
圖1係顯示說明動態製程中的電漿發光強度之圖式。
圖2係顯示通常製程與動態製程的蝕刻率比之圖式。
圖3係顯示實施型態的製程中之氣體組成例之圖式。
圖4係說明扇形的發生原理之圖式。
圖5係顯示形成有高深寬比的介層孔之情況下的介層孔樣態之圖式。
圖6係顯示藉由實施型態的製造方法之介層孔形成之圖式。
圖7係顯示實現實施型態的製造方法之製造裝置的結構之圖式。
圖8係顯示雙極環磁石24的水平剖面之圖式。
圖9係顯示電場EL與水平磁場B的關係之圖式。
圖10係顯示實施型態的製造裝置中之氣體調整部的結構之圖式。
圖11係顯示實施型態之製造方法的製程之流程圖。
圖12A係顯示藉由實施型態的製造方法之介層孔形成之圖式。
圖12B係顯示藉由實施型態的製造方法之介層孔形成之圖式。
圖12C係顯示藉由實施型態的製造方法之介層孔形成之圖式。
圖12D係顯示藉由實施型態的製造方法之介層孔形成之圖式。
圖13係顯示藉由實施型態的製造方法所形成之介層孔的具體例之圖式。
圖14係顯示介層孔的比較例之圖式。
圖15係顯示藉由電漿蝕刻所獲得之介層孔形狀之圖式。
圖16係顯示藉由電漿蝕刻而形成有介層孔之情況下的蝕刻率之圖式。
圖17A係顯示藉由實施型態的製造方法之介層孔形成的其他範例之圖式。
圖17B係顯示藉由實施型態的製造方法之介層孔形成的其他範例之圖式。
圖17C係顯示藉由實施型態的製造方法之介層孔形成的其他範例之圖式。
圖17D係顯示藉由實施型態的製造方法之介層孔形成的其他範例之圖式。
圖17E係顯示藉由實施型態的製造方法之介層孔形成的其他範例之圖式。
圖17F係顯示藉由實施型態的製造方法之介層孔形成 的其他範例之圖式。
(藉由電漿蝕刻之介層孔形成)
藉由電漿蝕刻來形成高深寬比的介層孔(via)之情況,例如,若考慮使用SF6 氣體來蝕刻矽層,則如反應式(1)所示般地,氟自由基與Si會反應而生成SiF4 (四氟化矽)。
4F*+Si → SiF4 (1)
生成於介層孔內之SiF4 會被排出至介層孔外。但若矽的蝕刻速度為數十μm/分左右的程度,則SiF4 的生成量便會變多,而導致新供應至介層孔內之氟自由基的量與從介層孔被排出之反應生成物(SiF4 )的量成為大致相同的等級。於是,由於介層孔內之SiF4 的分壓變高,且氟自由基的分壓受到抑制,因而難以提高蝕刻速度(蝕刻率)。亦即,所形成之介層孔愈深,則蝕刻率會達到極限。
相對於此,若採用提高氟自由基的反應比率之蝕刻條件,由於蝕刻反應為等向性,因此在開口後之介層孔上部處,稱作凹漥(bowing)形狀之形狀異常會變得明顯。亦即,若僅仰賴提高氟自由基的反應,會難以藉由高蝕刻率來實現高深寬比的介層孔。因此,實施型態的電漿蝕刻方法中,為了維持高蝕刻率與完整的介層孔形狀,除了通常的電漿蝕刻製程以外,另外又採用進行複數次二個以上步驟的循環之動態製程。
(動態製程)
動態製程係重複複數次較短時間的沉積步驟(沉積步驟)及蝕刻步驟(蝕刻步驟)之製程,亦可在各個工序之間有意圖地形成電漿化之過渡狀態。亦即,較佳係連續重複至少3次以上沉積步驟與蝕刻步驟,且在步驟間不會使電漿消失。
圖1係顯示藉由動態製程之電漿發光強度的一例。圖1所示之範例中,電漿產生條件如下所示,係顯示波長250-270:CF的發光強度。
壓力:4.7Pa(35mTorr)
高頻電功率(H/L):2000/4000W
處理氣體(第1工序(10秒)):C4 F6 /O2 /Ar=60/65/200sccm
處理氣體(第2工序(10秒)):C4 F6 /O2 /Ar=80/65/200sccm
圖1所示之動態製程的範例中,係間隔大約10秒而重複沉積為優勢之第1工序與蝕刻為優勢之第2工序。又,如圖1所示,動態製程中,係故意使第1工序及第2工序的遷移點與電漿發光強度的變化點錯開,而將電漿產生條件控制為縱使從第1工序轉移至第2工序仍會暫時維持第1工序的電漿發光強度,且縱使從第2工序轉移至第1工序仍會暫時維持第2工序的電漿發光強度。亦即,係有意圖地形成電漿的過渡狀態。此時,第1工序及第2工序的處理時間較佳係分別重複複數次1秒~15秒左右。此外,第1工序中之處理氣體的總流量與第2工序中之處理氣體的總流量較佳為相同或大致相同程度。
圖2係比較僅藉由電漿蝕刻(僅藉由蝕刻工序)來形成介層孔之情況的蝕刻率比率(延伸率),與藉由圖1所示般的動態製程來形成介層孔之情況的蝕刻率比率之圖式。如圖2所示,可得知若介層孔的深度由20um至40um變深,則僅藉由蝕刻工序的情況,蝕刻率會降低6成以下。另一方面,藉由動態製程之情況,相較於僅藉由蝕刻工序之情況,得知可維持20%左右之良好蝕刻率。
如此地,動態製程中,相較於僅藉由電漿蝕刻之製程,可維持良好的蝕刻率,且以高選擇比來形成形狀良好的圖案。
(動態製程的極限)
圖3係比較並顯示僅藉由電漿蝕刻之製程(以下亦稱作「Non-DYP」)所使用之氣體種類的範例,與動態製程(以下亦稱作「DYP」)所使用之氣體種類的範例。如圖3所示,僅藉由電漿蝕刻之製程中,係混合有生成SiFx自由基來使其與氧反應而形成SiOx系保護膜之沉積性氣體(SiF4 與O2 ),與供應上述反應式(1)所示的氟自由基之蝕刻性氣體(SF6 )。另一方面,在動態製程中,沉積步驟中係供應以沉積性氣體為主體之氣體,蝕刻步驟中係供應以蝕刻性氣體為主體之氣體。在動態製程的蝕刻步驟中供應氧係為了保護介層孔的入口。
如圖4所示,比較僅藉由電漿蝕刻之製程與動態製程的情況後,得知由於在動態製程中係以較短循環來重複沉積成分強之沉積步驟,與蝕刻成分較僅藉由電漿蝕刻之製程要來得強之蝕刻步驟,因此便會容易在介層孔的側壁形成條紋狀凹凸(扇形(scallop))。此處,如圖4所示,若考慮等向性地前進之蝕刻劑自由基進入至介層孔內的情況,則動態製程中,相較於僅藉由電漿蝕刻之製程,蝕刻劑自由基會被捕捉(被捕集)至介層孔的側壁所形成之扇形,使得扇形更加成長,而容易形成凹漥形狀。因此,藉由動態製程來形成高深寬比的介層孔之情況,如圖5所示,便會有容易形成扇形或凹漥之傾向,而成為導致介層孔的形狀惡化之原因。
於是,實施型態之電漿蝕刻方法如圖6所示,在介層孔的深度較淺之動態製程中,在容易形成凹漥之階段中係進行僅藉由電漿蝕刻之製程,而在介層孔的深度較其要深之階段中則進行動態製程。藉此,便可期待消除因沉積膜生成而導致介層孔側壁不平整之效果,以及提高藉由遮罩沉積的選擇比之效果。
(實施型態之電漿蝕刻裝置)
圖7係概略顯示實現本發明實施型態的電漿蝕刻方法之電漿蝕刻裝置100的結構。電漿蝕刻裝置100係構成為氣密式,其具有電位為電性接地狀態之處理室1。該處理室1呈圓筒狀,係由例如表面經陽極氧化處理後的鋁等所構成。
處理室1內係設置有水平地支撐被處理基板(半導體晶圓W)之載置台2。載置台2係由例如表面經陽極氧化處理後的鋁等所構成,其具有作為下部電極之功能。該載置台2係構成為受到導體之支撐台4的支撐,可透過絕緣板3且藉由包含有滾珠螺桿7之升降機構(未圖示)而升降。包含有滾珠螺桿7之升降機構係配設於處理室1。升降機構係受到不鏽鋼所構成的波紋管(bellows)8之覆蓋。波紋管8的外側係設置有波紋管罩9。又,載置台2的上方外周係設置有例如由單結晶矽所形成之聚焦環5。再者,圍繞載置台2及支撐台4的周圍般地,而設置有例如石英等所構成的圓筒狀內壁組件3a。
載置台2係透過第1匹配器11a而連接有第1高頻電源10a,又,係透過第2匹配器11b而連接有第2高頻電源10b。第1高頻電源10a係用於產生電漿,從該第1高頻電源10a會對載置台2供應特定頻率(27MHz以上,例如40MHz)的高頻電功率。又,第2高頻電源10b係用於離子吸引用(偏壓用),從該第2高頻電源10b會對載置台2供應低於第1高頻電源10a之特定頻率(13.56MHz以下,例如2MHz)的高頻電功率。另一方面,載置台2的上方係設置有平行地與載置台2呈對向而具有作為上部電極的功能之噴淋頭16,噴淋頭16與載置台2係作為一對電極(上部電極與下部電極)而發揮功能。
載置台2的上面係設置有用以靜電吸附半導體晶圓W之靜電夾具6。該靜電夾具6係使得電極6a介設於絕緣體 6b之間所構成,電極6a係連接有直流電源12。然後,係構成為從直流電源12對電極6a施加直流電壓,而藉由庫倫力等來吸附半導體晶圓W。
支撐台4的內部係形成有冷媒流道4a,冷媒流道4a係連接有冷媒入口配管4b、冷媒出口配管4c。然後,藉由使適當的冷媒(例如冷卻水等)循環於冷媒流道4a中,便可將支撐台4及載置台2控制為特定溫度。又,係貫穿載置台2等般地設置有用以對半導體晶圓W的內面側供應氦氣等的冷熱傳導用氣體(內面側氣體(back side gas))之內面側氣體供應配管30,該內面側氣體供應配管30係連接於內面側氣體供應源(未圖示)。藉由該等結構,便可將藉由靜電夾具6而被吸附保持在載置台2的上面之半導體晶圓W控制為特定溫度。
噴淋頭16係設置於處理室1的頂部部分。噴淋頭16係具備有本體部16a與構成電極板之上部頂板16b,而透過絕緣性組件45被支撐在處理室1的上部。本體部16a係由導電性材料,例如表面經陽極氧化處理後的鋁所構成,而構成為可自由裝卸地將上部頂板16b支撐在其下部。
本體部16a的內部係設置有氣體擴散室16c,位在該氣體擴散室16c的下部般地,本體部16a的底部係形成有多個氣體通流孔16d。又,上部頂板16b係設置有於厚度方向貫穿該上部頂板16b且與上述氣體通流孔16d重疊之氣體導入孔16e。藉由上述結構,則供應至氣體擴散室16c之處理氣體便會經由氣體通流孔16d及氣體導入孔16e而噴淋狀地被分散且供應至處理室1內的處理空間1b。此外,本體部16a等係設置有用以使冷媒循環之配管(未圖示),可在電漿蝕刻處理中將噴淋頭16冷卻至期望溫度。
本體部16a係形成有用以將處理氣體導入至氣體擴散室16c之氣體導入口16g。該氣體導入口16g係連接有氣 體供應配管15a,該氣體供應配管15a的另一端係連接有透過氣體調整部15b來供應處理氣體之處理氣體供應源15,該氣體調整部15b會調節被傳送至氣體導入口16g之氣體的種類或混合比。氣體調整部15b除了氣體供應配管15a以外,亦連接有透過氣體供應配管15a來將氣體擴散室16c內的氣體排除之排氣裝置15c,或可直接對處理空間1b供應追加氣體之追加氣體供應配管15g等。
作為上述上部電極之噴淋頭16係透過低通濾波器(LPF)51而電連接有可變直流電源52。該可變直流電源52可藉由開啟.關閉開關53而進行供電的開啟.關閉。可變直流電源52的電流.電壓以及開啟.關閉開關53的開啟.關閉係受到後述控制部60的控制。此外,如後述般,從第1高頻電源10a、第2高頻電源10b對載置台2施加高頻而於處理空間產生電漿之際,係依需要,藉由控制部60來使開啟.關閉開關53為開啟,而對作為上部電極之噴淋頭16施加特定的直流電壓。
從處理室1的側壁延伸至較噴淋頭16的高度位置要上方處般地設置有圓筒狀接地導體1a。該圓筒狀接地導體1a係於其上部具有頂板。
處理室1的底部係形成有排氣口71,該排氣口71係連接有排氣裝置73。排氣裝置73係具有真空幫浦,藉由使得該真空幫浦作動,便可將處理室1內減壓至特定的真空度。另一方面,處理室1的側壁係設置有晶圓W的搬出入口74,該搬出入口74係設置有用以開閉該搬出入口74之閘閥75。
對應於載置台2之處理時的上下方向位置處之處理室1的周圍係配置有環狀或同心狀地延伸之雙極環磁石24。如圖8之橫剖視圖所示,雙極環磁石24係於環狀磁性體所構成的殼體26內,於圓周方向以一定間隔配列有複數 個(例如16個)異向性節柱狀磁石25。圖8中,各異向性節柱狀磁石25中所示之箭頭係顯示磁化的方向。如圖8所示,藉由使得各異向性節柱狀磁石25的磁化方向,沿著殼體26的圓周方向而一點一點地偏移,便可形成整體地朝向一方向之一樣的水平磁場B。
於是,載置台2與噴淋頭16之間的空間便會如圖9所概略顯示般地,藉由第1高頻電源10a而形成有鉛直方向的RF電場EL,且藉由雙極環磁石24而形成有水平磁場B。藉由使用該等直交電磁場之磁控放電,便可於載置台2的表面附近處生成高密度的電漿。
上述結構的電漿蝕刻裝置係藉由控制部60來統括地控制其動作。該控制部60係設置有製程控制器61、使用者介面62、及記憶部63,該製程控制器61會控制具備有CPU之電漿蝕刻裝置的各部。
使用者介面62係由工序管理者為了管理電漿蝕刻裝置而進行指令的輸入操作之鍵盤,或可視化地顯示電漿蝕刻裝置的運轉狀況之顯示器等所構成。
記憶部63係儲存有藉由製程控制器61的控制來實現電漿蝕刻裝置中所執行的各種處理之控制程式(軟體)或記憶有處理條件資料等之配方。然後,依需要,以來自使用者介面62的指示等而從記憶部63呼叫出任意的配方並使製程控制器61執行,藉以在製程控制器61的控制下,以電漿蝕刻裝置來進行所欲處理。又,控制程式或處理條件資料等的配方可利用儲存在可被電腦讀取的電腦記憶媒體(例如硬碟、CD、軟碟、半導體記憶體等)等之狀態者,或是從其他裝置透過例如專用回線來隨時傳送,而在線上利用。。
此處,參閱圖10來詳細說明處理氣體供應源15及氣體調整部15b。如圖10所示,處理氣體供應源15係具有 供應用於沉積工序的沉積性氣體(沉積氣體)之沉積氣體源15d、用於蝕刻工序之蝕刻氣體源15e、以及供應追加氣體之追加氣體源15f。沉積氣體源15d會供應作為例如圖3所示的沉積性氣體之SiF4 或O2 等的氣體。蝕刻氣體源15e會供應作為例如圖3所示的蝕刻性氣體之SF6 或HBr等的氣體。沉積氣體源15d、蝕刻氣體源15e及追加氣體源15f所供應之各個氣體種類的混合比或供應量係依據來自製程控制器61的控制指示而決定。亦即,圖3所示之範例中,僅藉由電漿蝕刻之製程中,沉積氣體源15d及蝕刻氣體源15e係供應特定流量的SF6 、O2 、SiF4 及HBr等之氣體。同樣地,動態製程的沉積步驟中,沉積氣體源15d係供應特定流量的SiF4 及O2 等之氣體,同樣地蝕刻步驟中,沉積氣體源15d及蝕刻氣體源15e係供應特定流量的SF6 、HBr及O2 等之氣體。
氣體調整部15b會控制僅藉由電漿蝕刻之製程或動態製程中的處理氣體供應時間點。氣體調整部15b亦可控制處理氣體的供應流量或混合比。氣體調整部15b係具備有連接於沉積氣體源15d的供應管之沉積供應閥Vds ,以及連接於蝕刻氣體源15e的供應管之蝕刻氣體供應閥Ves ,沉積供應閥Vds 及蝕刻氣體供應閥Ves 的輸出係連接於氣體供應配管15a,該氣體供應配管15a係連接於氣體擴散室16c。又,氣體調整部15b係具備有連接於沉積氣體源15d的供應管之沉積排氣閥Vdv ,連接於蝕刻氣體源15e的供應管之蝕刻氣體排氣閥Vev ,沉積排氣閥Vds 及蝕刻氣體排氣閥Vev 的輸出係連接於排氣裝置15c。再者,氣體供應配管15a係連接有將氣體擴散室16c內的氣體排氣之擴散室排氣閥Vvac ,擴散室排氣閥Vvac 的輸出係連接於排氣裝置15c。追加氣體源15f係連接有追加氣體閥Vadd ,追加氣體閥Vadd 的輸出係連接於追加氣體供應配管15g。
氣體調整部15b所具備之各個閥係藉由來自製程控制器61的控制指示而控制開閉。亦即,製程控制器61係控制氣體調整部15b所具有的各個閥,來控制沉積氣體.蝕刻氣體之朝氣體擴散室16c的供應量及來自氣體擴散室16c的排氣量,並且藉由控制朝處理空間1b之追加氣體的供應量及排氣量,來實現僅藉由電漿蝕刻之製程、動態製程的沉積步驟及動態製程的蝕刻步驟分別的動作狀態。藉由氣體調整部15b而控制流量或混合比等之沉積氣體或蝕刻氣體係經由氣體供應配管15a而被供應至氣體擴散室16c,再從該氣體擴散室16c經由氣體通流孔16d及氣體導入孔16e噴淋狀地被分散且供應至處理室1內的處理空間1b。
(電漿蝕刻裝置的動作)
針對上述方式構成的電漿蝕刻裝置中,電漿蝕刻半導體晶圓W之步驟順序的概略加以說明。首先,打開閘閥75,並藉由搬送機器人(未圖示)等,而經由加載互鎖室(未圖示)來將半導體晶圓W從搬出入口74搬入至處理室1內,並載置於載置台2上。之後,使搬送機器人退避至處理室1外,並關閉閘閥75。然後,藉由排氣裝置73的真空幫浦且經由排氣口71來將處理室1內排氣。藉由升降機構(未圖示)來使載置台2上升至處理的特定位置。
當處理室1內成為特定的真空度後,處理室1內會從處理氣體供應源15導入有特定的處理氣體(沉積性氣體或蝕刻性氣體)。在處理室1內達到特定壓力時,保持處理室1內的壓力,且在此狀態下,從第1高頻電源10a對載置台2供應頻率為例如40MHz的高頻電功率。又,從第2高頻電源10b對載置台2供應離子吸引用之頻率為例如2.0MHz的高頻電功率(偏壓用)。此時,從直流電源12對靜電夾具6的電極6a施加特定的直流電壓,則半導體晶 圓W便會藉由庫倫力而被吸附。雙極環磁石24會產生水平磁場B。
此情況下,如上述般地藉由對下部電極(載置台2)施加高頻電功率,則上部電極(噴淋頭16)與下部電極(載置台2)之間便會形成有電場。存在有半導體晶圓W之處理空間1b會發生放電,藉由藉此而形成之處理氣體的電漿,來蝕刻處理半導體晶圓W。此時,依需要,使開啟.關閉開關53為開啟,而從可變直流電源52對作為上部電極之噴淋頭16施加特定的直流電壓。
實施型態之電漿蝕刻方法係由混合沉積性氣體及蝕刻性氣體且以特定時間進行電漿蝕刻處理之工序,以及,以較短循環而重複複數次供應沉積性氣體之沉積步驟及供應蝕刻性氣體之蝕刻步驟之工序所構成。
所有的處理結束後,便停止高頻電功率的供應、直流電壓的供應及處理氣體的供應,並以相反於上述步驟順序之步驟順序,來將半導體晶圓W從處理室1內搬出。
接下來,詳細說明本實施型態之電漿蝕刻方法。
(第1實施型態的電漿蝕刻方法)
圖11係說明實施型態的電漿蝕刻方法之流程圖,圖12A~12D係概略顯示實施型態之電漿蝕刻方法之各工序中的晶圓狀態之剖視圖。圖12A~12D中係放大顯示晶圓W上之一個開口部154a的附近區域。如圖11所示,實施型態的電漿蝕刻方法係具有僅藉由電漿蝕刻之製程(Non-DYP工序)與動態製程(DYP工序)。
首先,說明使用了電漿蝕刻方法之晶圓W的一結構例。如圖12A所示,晶圓W係於例如單結晶矽(Si)層所構成之基體151上自下側依序層積有第1硬遮罩膜152、第2硬遮罩膜153及遮罩膜154。作為第1硬遮罩膜152,可使用具有例如厚度尺寸t1之氮化矽(SiN)膜,可使厚度尺 寸t1為例如0.5μm。作為第2硬遮罩膜153,可使用具有例如厚度尺寸t2之氧化矽(SiOx)膜,可使厚度尺寸t2為例如0.5μm。作為遮罩膜154,可使用具有例如厚度尺寸t3之阻劑層,可使厚度尺寸t3為例如2.5μm。又,遮罩膜154係藉由預先進行光微影工序,而於複數部位處將開口徑(直徑尺寸)D1為例如8μm的圓形開口部154a圖案化。
此外,第1硬遮罩膜152及第2硬遮罩膜153亦可是第1硬遮罩膜152為氧化矽(SiOx)膜,而第2硬遮罩膜153為氮化矽(SiN)膜。將上述般的晶圓W搬入處理室1內並載置於載置台2上。
製程控制器61係控制處理氣體供應源15及氣體調整部15b,且以例如圖3的「Non-DYP」所示之流量來將SF6 、O2 、SiF4 及HBr的氣體供應至處理室1特定時間,而蝕刻矽層(步驟S81。以下稱作「S81」。)。此外,步驟81係相當於Non-DYP工序。具體來說,係在藉由排氣裝置73來將處理室1內排氣之狀態下,藉由處理氣體供應源15及氣體調整部15b來將處理氣體(混合氣體)以特定流量導入至處理空間1b,而使處理室1內的壓力為設定值。又,藉由直流電源12而以靜電引力來使晶圓W固定在載置台2,藉此加以支撐之狀態下,藉由第1高頻電源10a來對載置台2供應第1高頻電功率。如此一來,從噴淋頭16噴出的蝕刻氣體便會因磁控放電而被電漿化,將電漿化後的電漿照射在晶圓W。
然後,藉由將電漿照射在晶圓W,則如圖12B所示般地,在遮罩膜154的各個開口部154a處,於下層側之第2硬遮罩膜153及第1硬遮罩膜152便會分別形成有開口部153a、152a,且於基體151形成有孔部151a。
此外,遮罩膜154雖亦會因電漿而被蝕刻,但第2硬遮罩膜153、第1硬遮罩膜152及矽層151的蝕刻速度相 對於遮罩膜154的蝕刻速度之比(選擇比)相當地大。因此,圖12B中便省略遮罩膜154的膜厚變化之圖示(圖12C中亦相同。)。當蝕刻氣體被電漿化而生成的氟自由基F*到達孔部151a後,會因上述反應式(1),而生成SiF4 。然後,所生成之SiF4 會被排出至孔部151a外,藉此來蝕刻矽層151。
另一方面,當蝕刻氣體被電漿時,會生成氧自由基O*。藉由上述反應式(1)而生成的SiF4 會與某些電漿反應,或氟自由基F*與Si會反應,藉此而生成氟化矽的自由基SiFx*。然後,舉一例,如以下反應式(2)所示:O*+SiFx* → SiOFx (2)
會因氧自由基O*與氟化矽的自由基SiFx*反應,而於孔部151a的側壁沉積有SiO系的保護膜155(例如SiOFx)。
如圖12B所示,保護膜155係沉積在遮罩膜154的上面、遮罩膜154的開口部154a側壁、第2硬遮罩膜153的開口部153a側壁、第1硬遮罩膜152的開口部152a側壁、以及矽層151之孔部151a的側壁151b(參閱圖12C)。另一方面,由於矽層151之孔部151a的底面151c距離晶圓W表面最遠,因此相較於從遮罩膜154的上面至矽層151之孔部151a的側壁151b之部分,保護膜155的沉積速度較小。於是,便可進行於深度方向蝕刻孔部151a的蝕刻速度會較於橫向蝕刻孔部151a的蝕刻速度要來得大之異向性蝕刻,且可使孔部151a的側壁151b相對於晶圓W表面為垂直。
經過特定時間後,製程控制器61會控制處理氣體供應源15及氣體調整部15b,且以例如圖3之DYP.沉積步驟所示的流量來將O2 及SiF4 的氣體供應至處理室1特定時間,而相對於晶圓W進行沉積處理(S82)。步驟82為DYP工序的一要素。具體來說,氣體調整部15b會將處理 室1內排氣,並且以圖3之沉積步驟所示般的流量來將O2 及SiF4 的氣體導入至處理空間1b,且使處理室1內的壓力為設定值。此時,亦可藉由一邊將處理室1內的壓力保持為一定,一邊變更所供應之處理氣體,來維持電漿發光狀態。從噴淋頭16噴出的沉積性氣體會因磁控放電而被電漿化,將電漿化後的電漿照射在晶圓W。此時,維持沉積工序之時間係短於Non-DYP工序(第1蝕刻工序)的處理時間。
當經過沉積工序的處理時間後,製程控制器61會控制處理氣體供應源15及氣體調整部15b,且以例如圖3之DYP.蝕刻步驟所示的流量來將SF6 、O2 及HBr的氣體供應至處理室1特定時間,而蝕刻晶圓W的矽層151(S83)。步驟83為DYP工序的一要素。具體來說,氣體調整部15b會將處理室1內排氣,並且以圖3之蝕刻步驟所示般的流量來將SF6 、O2 及HBr的氣體導入至處理空間1b,且使處理室1內的壓力為設定值。此時,係控制為一邊將處理室1內的壓力保持為一定,一邊變更所供應之處理氣體,來維持電漿的遷移狀態。從噴淋頭16噴出的蝕刻性氣體會因磁控放電而被電漿化,將電漿化後的電漿照射在晶圓W。此時,維持第2蝕刻工序之時間係短於Non-DYP工序(第1蝕刻工序)的處理時間。
當經過第2蝕刻工序的處理時間後,製程控制器61會判斷是否重複DYP工序(沉積工序S82及第2蝕刻工序S83)特定次數(S84)。若未重複特定次數步驟82的沉積工序與步驟83的第2蝕刻工序之情況(S84的No),則製程控制器61會控制處理氣體供應源15及氣體調整部15b而重複步驟82及83(S82.S83)。
若已重複特定次數步驟82的沉積工序與步驟83的第2蝕刻工序之情況(S84的Yes),則製程控制器61便結束 處理。圖12C係顯示進行了步驟81的處理與複數次步驟82及83的各處理之晶圓W剖面。藉由進行步驟81及複數次步驟82、83,便結束矽層151的蝕刻,而如圖12C所示般地形成有孔部151a。
接下來,藉由使得包含有例如O2 氣體的蝕刻氣體電漿化後之電漿來將遮罩膜154灰化,且進行遮罩膜154被灰化後之晶圓W表面的洗淨。接著,藉由CVD(Chemical vapor deposition)、電解鍍覆或無電解鍍覆等,來將例如銅(Cu)等之配線金屬156埋入孔部151a內。接下來,如圖12D所示般地,藉由CMP(Chemical Mechanical Polishing)加工,來去除形成於晶圓W表面之剩餘的配線金屬156。當第2硬遮罩膜153或第1硬遮罩152係由氮化矽膜所構成時,亦可使氮化矽膜作為CMP的停止膜而作用,並在氮化矽膜的上端位置處進行CMP加工的終點檢測。
作為實施例,使用圖7所示之電漿蝕刻裝置,且以下述條件來實施矽晶圓的電漿蝕刻。
Non-DYP工序(第1蝕刻工序S81):(1)壓力:200[mT],高頻電功率(H/L):2500W/75W,處理氣體:SF6 /O2 /SiF4 /HBr=90/110/800/100[sccm],處理時間:10秒;(2)壓力:200[mT],高頻電功率(H/L):2500W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/150[sccm],處理時間:2分;(3)壓力:200[mT],高頻電功率(H/L):2900W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/180[sccm],處理時間:2分;(4)壓力:200[mT],高頻電功率(H/L):3000W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/180[sccm],處理時間:1分。
DYP工序(沉積工序S82):(5)壓力:300[mT],高頻電功率(H/L):2000W/200W,處理氣體:SF6 /O2 /SiF4 /HBr/SiCl4 =0/350/300/0/150[sccm],處理時間:6秒;DYP工序(第2蝕刻工序S83):(6)壓力:100[mT],高頻電功率(H/L):2000W/200W,處理氣體:SF6 /O2 /SiF4 /HBr/SiCl4 =570/180/0/50/0[sccm],處理時間:10秒;DYP工序(S82及S83)的重複次數:52次。
將實施例1之介層孔形成結果顯示於圖13。圖13所示之2個電子顯微鏡相片當中,左側係顯示僅藉由Non-DYP工序之介層孔形成結果的剖面,右側係顯示經過所有的Non-DYP工序及DYP工序之介層孔形成結果的剖面。如圖11所示,可形成深度116.0[um],錐角88.9度的良好介層孔。
另一方面,作為比較例,使用圖7所示之電漿蝕刻裝置,且以下述條件來實施矽晶圓的電漿蝕刻。
Non-DYP工序(第1蝕刻工序S81):(1)壓力:200[mT],高頻電功率(H/L):2500W/75W,處理氣體:SF6 /O2 /SiF4 /HBr=90/110/800/100[sccm],處理時間:10秒;(2)壓力:200[mT],高頻電功率(H/L):2500W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/150[sccm],處理時間:2分;(3)壓力:200[mT],高頻電功率(H/L):2900W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/180[sccm],處理時間:2分;(4)壓力:200[mT],高頻電功率(H/L):3000W/0W,處理氣體:SF6 /O2 /SiF4 /HBr=140/140/900/180[sccm],處理 時間:2分。
DYP工序(沉積工序S82)
(5)壓力:300[mT],高頻電功率(H/L):2000W/200W,處理氣體:SF6 /O2 /SiF4 /HBr/SiCl4 =0/350/300/0/150[sccm],處理時間:6秒;DYP工序(第2蝕刻工序S83)
(6)壓力:100[mT],高頻電功率(H/L):2000W/200W,處理氣體:SF6 /O2 /SiF4 /HBr/SiCl4 =570/180/0/50/0[sccm],處理時間:10秒;DYP工序(S82及S83)的重複次數:52次。
亦即,比較例係使得第1蝕刻工序S81的維持時間較圖13所示之實施例要來得長。將比較例之介層孔形成結果顯示於圖14。圖14所示之2個電子顯微鏡相片當中,左側係顯示僅藉由Non-DYP工序之介層孔形成結果的剖面,右側係顯示經過所有的Non-DYP工序及DYP工序之介層孔形成結果的剖面。如圖12所示,比較例中,最終之介層孔的深度較淺,且介層孔壁面發生不平整而產生凹漥。比較例中,由於Non-DYP工序的處理時間較長,因此僅藉由該工序所形成之時間點的介層孔會較實施例之介層孔要深,且介層孔底部的直徑較小(實施例:7.7[um],比較例:5.1[um])。於是,便推測DYP工序的蝕刻步驟中,蝕刻性氣體未充分到達介層孔底部,而使得介層孔的深度較淺。又,推測DYP工序的蝕刻步驟中,蝕刻性氣體未充分到達介層孔底部的結果,則在DYP工序的沉積步驟中,沉積性氣體便無法被充分導入介層孔內,而導致介層孔壁面不平整。
由以上結果可知Non-DYP工序較佳地係在介層孔底部的直徑尚未過小之階段中便轉移至DYP工序。此範例中,較佳地,係在介層孔底部的直徑成為5.1[um]以下之 前,便從Non-DYP工序轉移至DYP工序。
(Non-DYP工序與DYP工序的切換時間點)
如圖13及14所示,可知實施型態的方法中,若僅藉由電漿蝕刻之Non-DYP工序中所形成的介層孔底部直徑較小,則接下來之DYP工序便無法順利進行。亦即,較佳地,係在DYP工序中使得沉積性氣體或蝕刻性氣體充分地到達介層孔底部。於是,便調查了改變電漿蝕刻中之處理室1內的壓力與第1高頻電源10a的輸出電功率後所獲得之介層孔形狀。圖15係顯示改變處理室1內的壓力與第1高頻電源10a的電漿產生電功率之條件,且藉由電漿蝕刻(Non-DYP工序)所獲得之介層孔形狀之圖式。
如圖15所示,若第1高頻電源10a的電漿產生電功率愈小,則會成為朝向介層孔底部而直徑慢慢地變狹窄之形狀,且亦見到晶圓W內的形狀有不一致之傾向。另一方面,若使處理室1內的壓力為一定而增加電漿產生電功率,則介層孔壁面便會成為垂直,可確保介層孔底部直徑的大小,且亦見到介層孔形狀本身成為一致之傾向。亦即,可得知只要是處理室1內的壓力為相同,則電漿產生電功率較大者,其介層孔形狀較為平整,且亦可充分確保介層孔底部直徑的大小。
另一方面,形成高深寬比的介層孔之情況,維持高蝕刻率一事亦為重要的要素。於是,便調查了改變電漿蝕刻中之處理室1內的壓力與第1高頻電源10a的輸出電功率來形成介層孔,而在分別的條件下之蝕刻率。圖16係顯示改變處理室1內的壓力與第1高頻電源10a的電漿產生電功率之條件,且藉由電漿蝕刻(Non-DYP工序)來形成介層孔之情況的蝕刻率之圖式。如圖16所示,可見到處理室1內的壓力愈大,則大致會有蝕刻率便愈高之傾向。但可得知若使處理室1內的壓力為一定而使電漿產生電功率 增大,則蝕刻率便會以某一電功率為交界而下降。
亦即,從確保良好的蝕刻率與介層孔底部直徑的大小之觀點來看,只要使得電漿產生電功率更大,且設定蝕刻率會成為最好之處理氣體壓力即可。
(第2實施型態的電漿蝕刻方法)
接下來,說明其他實施型態之電漿蝕刻方法。此實施型態之電漿蝕刻方法中,可使用與第1實施型態之電漿蝕刻裝置相同的裝置。因此,與第1實施型態共通的要素,則賦予共通的符號來加以顯示,而省略重複說明。
此實施型態之電漿蝕刻方法,為了在三維組裝之半導體裝置形成貫穿電極,而使用TSV(Through-Silicon Via)技術於晶圓形成貫穿孔。因此,本實施型態之電漿蝕刻方法係針對透過黏著劑來將形成貫穿孔用的晶圓(以下,亦稱作「元件晶圓」。)貼合在輔助晶圓後之晶圓進行蝕刻,這一點與第1實施型態不同。
圖17A~17F係概略顯示包含有本實施型態電漿蝕刻方法之半導體裝置之製造方法的各工序中晶圓狀態之剖視圖。
貼合晶圓如圖17C所示,係具有元件晶圓W與輔助晶圓SW。元件晶圓W係於表面Wa形成有電晶體等的半導體裝置之基板。輔助晶圓SW係在研磨內面Wb來使元件晶圓W薄型化時,用以補強薄型化後的元件晶圓W之基板。元件晶圓W係透過黏著劑G而被貼合在輔助晶圓SW。
本實施型態之半導體裝置之製造方法,首先,係於矽晶圓等所構成的元件晶圓W表面形成電晶體201,再於形成有電晶體201之元件晶圓W上形成層間絕緣膜202(圖17A)。
接下來,於層間絕緣膜202上形成配線構造203。其 係於層間絕緣膜202上交互地層積配線層204及絕緣膜205,並且貫穿絕緣膜205而形成電連接上下的配線層204間之通孔(via hole)206(圖17B)。
接下來,使元件晶圓W上下反轉,並透過黏著劑G來將元件晶圓W的表面Wa與輔助晶圓SW貼合,藉此準備貼合晶圓。輔助晶圓SW係由研磨內面Wb來使得元件晶圓W薄型化時,補強薄型化後的元件晶圓W來防止翹曲之作為支撐體的基板(例如矽晶圓)等所構成。然後,將貼合晶圓支撐在例如研磨裝置所具備之支撐部,並研磨晶圓W的內面Wb側,來進行薄型化而使研磨前的厚度T1為特定厚度T2(圖17C)。可使特定厚度T2為例如50~200μm,
此外,圖17A~17F中雖為了易於圖示,而誇張地描繪層間絕緣膜202及配線構造203的厚度,但實際上,層間絕緣膜202及配線構造203的厚度係相較於晶圓W基體本身的厚度為極小。
接下來,將阻劑塗佈在晶圓W的內面Wb,並進行曝光、顯影,藉以形成阻劑圖型(未圖示)。然後,進行與第1實施型態同樣的電漿蝕刻工序,而蝕刻晶圓W的內面Wb,形成貫穿孔V。然後,將殘留在形成有貫穿孔V之晶圓W的內面Wb之阻劑,與第1實施型態之電漿蝕刻方法同樣地,進行灰化來加以去除(圖17D)。可使貫穿孔V的直徑為例如1~10μm。又,貫穿孔V的深度係相當於研磨晶圓W的內面Wb來使其薄型化後之晶圓W基體本身的厚度,可為例如50~200μm。
接下來,被覆貫穿孔V的內周面般地形成例如聚醯亞胺等的絕緣膜207,再於內周面受到絕緣膜207的被覆之貫穿孔V內,藉由電解鍍覆法等而形成貫穿電極208(圖17E)。
接下來,將輔助晶圓SW從晶圓W剝離而薄型化,藉此而獲得形成有貫穿電極208之晶圓W。可藉由照射例如紫外光(UV光),來使光反應性黏著劑G的黏著力降低來剝離(圖17F)。
本實施型態亦係在圖17D所示之電漿蝕刻工序中,進行組合了Non-DYP工序及DYP工序之電漿蝕刻。藉此,便可形成介層孔壁面不會不平整之高深寬比的介層孔。
以上,雖已敘述本發明之較佳實施型態,但本發明不限於上述特定實施型態,可在申請專利範圍內所記載之本發明要旨的範圍內,做各種變形、變化。
S81‧‧‧第1蝕刻工序
S82‧‧‧沉積工序
S83‧‧‧第2蝕刻工序
S84‧‧‧已執行特定次數?

Claims (12)

  1. 一種半導體裝置之製造方法,其具有以下步驟:形成裝置結構於第1基板之主面上;貼合第2基板至該裝置結構之表面;研磨該第1基板之內面,該內面係配置成相對於該第1基板之主面;形成圖案化層於該第1基板之被研磨的內面;以及藉由使用該圖案化層作為遮罩來在該第1基板中形成孔部,其中該形成孔部之步驟包含執行以下工序:第1蝕刻工序,係藉由使用具有第1沉積性氣體及第1蝕刻性氣體之混合氣體的電漿而透過該遮罩來蝕刻該第1基板;以及重複進行複數次沉積工序及第2蝕刻工序之步驟,該沉積工序係藉由使用具有以第2沉積性氣體為主體之氣體電漿來對該第1基板進行沉積處理,而該第2蝕刻工序係藉由使用具有以第2蝕刻性氣體為主體之氣體來蝕刻該第1基板。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中該重複步驟係使電漿不會在中途消失,而連續重複至少3次以上該沉積工序及該第2蝕刻工序。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中該混合氣體係包含有SF6 、O2 及SiF4 的氣體。
  4. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第1與第2沉積性氣體係包含有O2 及SiF4 的氣體。
  5. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第1與第2蝕刻性氣體係包含有SF6 及O2 的氣體。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中該重複步驟係較執行該第1蝕刻工序之步驟的處理時間來得長時間持續進行。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中該裝置結構包含電晶體、層間絕緣層,以及配線層。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第1與第2基板係矽晶圓。
  9. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第1與第2蝕刻性氣體相互相同,且該第2蝕刻性氣體之濃度係高於該第1蝕刻性氣體之濃度。
  10. 如申請專利範圍第1項之半導體裝置之製造方法,其中該第1與第2沉積性氣體相互相同,且該第2沉積性氣體之濃度係高於該第1沉積性氣體之濃度。
  11. 如申請專利範圍第8項之半導體裝置之製造方法,其中該第1與第2沉積性氣體相互相同,且該第2沉積性氣體之濃度係高於該第1沉積性氣體之濃度。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中該重複步驟係至少持續進行至該第1基板與該裝置結構之間的介面被暴露。
TW101136964A 2011-10-06 2012-10-05 Manufacturing method of semiconductor device TWI492299B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011222377A JP2013084695A (ja) 2011-10-06 2011-10-06 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW201332012A TW201332012A (zh) 2013-08-01
TWI492299B true TWI492299B (zh) 2015-07-11

Family

ID=48043461

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101136964A TWI492299B (zh) 2011-10-06 2012-10-05 Manufacturing method of semiconductor device

Country Status (5)

Country Link
US (1) US20140227876A1 (zh)
JP (1) JP2013084695A (zh)
KR (1) KR20140082685A (zh)
TW (1) TWI492299B (zh)
WO (1) WO2013051282A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
CN104752266A (zh) * 2013-12-31 2015-07-01 中微半导体设备(上海)有限公司 一种硅通孔刻蚀装置
JP2018170363A (ja) 2017-03-29 2018-11-01 東芝メモリ株式会社 半導体装置の製造方法及び半導体装置
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
JP2019198192A (ja) * 2018-05-11 2019-11-14 株式会社日立製作所 電動機
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP2011119359A (ja) * 2009-12-01 2011-06-16 Tokyo Electron Ltd 半導体装置の製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
JP4512529B2 (ja) * 2005-07-15 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
JP4488999B2 (ja) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP4722725B2 (ja) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 処理方法およびプラズマエッチング方法
JP5143382B2 (ja) * 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7550758B2 (en) * 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
JP2008187061A (ja) * 2007-01-31 2008-08-14 Elpida Memory Inc 積層メモリ
EP2224469A3 (en) * 2009-02-25 2015-03-25 Imec Method for etching 3d structures in a semiconductor substrate, including surface preparation
JP5135271B2 (ja) * 2009-03-23 2013-02-06 Sppテクノロジーズ株式会社 エッチング方法
JP5035300B2 (ja) * 2009-06-15 2012-09-26 株式会社デンソー 半導体装置の製造方法
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
KR20120000612A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224520A1 (en) * 2003-04-09 2004-11-11 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP2011119359A (ja) * 2009-12-01 2011-06-16 Tokyo Electron Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TW201332012A (zh) 2013-08-01
WO2013051282A1 (ja) 2013-04-11
JP2013084695A (ja) 2013-05-09
US20140227876A1 (en) 2014-08-14
KR20140082685A (ko) 2014-07-02

Similar Documents

Publication Publication Date Title
KR101742324B1 (ko) 반도체 장치의 제조 방법 및 플라즈마 에칭 장치
TWI706460B (zh) 電漿蝕刻方法
TWI450332B (zh) 電漿蝕刻方法
TWI503881B (zh) A plasma etch method, a plasma etch apparatus, and a computer memory medium
US8975188B2 (en) Plasma etching method
TWI492299B (zh) Manufacturing method of semiconductor device
TWI571929B (zh) 基板載置台及電漿處理裝置
TWI401741B (zh) Plasma etching method
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP5568340B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
KR102071732B1 (ko) 반도체 장치의 제조 방법 및 컴퓨터 기록 매체
JP6151215B2 (ja) プラズマエッチング方法
US7405162B2 (en) Etching method and computer-readable storage medium
TWI525692B (zh) Plasma etching method, control program and computer memory media
US7902078B2 (en) Processing method and plasma etching method
TW201304001A (zh) 高蝕刻速率之提供方法
JP6096438B2 (ja) プラズマエッチング方法及びプラズマエッチング装置