TWI445117B - 化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法 - Google Patents

化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法 Download PDF

Info

Publication number
TWI445117B
TWI445117B TW097143524A TW97143524A TWI445117B TW I445117 B TWI445117 B TW I445117B TW 097143524 A TW097143524 A TW 097143524A TW 97143524 A TW97143524 A TW 97143524A TW I445117 B TWI445117 B TW I445117B
Authority
TW
Taiwan
Prior art keywords
substrate
reaction space
selectively forming
inlet
etchant
Prior art date
Application number
TW097143524A
Other languages
English (en)
Other versions
TW200929419A (en
Inventor
Matthias Bauer
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200929419A publication Critical patent/TW200929419A/zh
Application granted granted Critical
Publication of TWI445117B publication Critical patent/TWI445117B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法
本發明大體而言涉及半導體處理。更特定而言,本發明涉及選擇性地沈積膜以及經組態以用於選擇性地沈積膜之設備。
眾所周知,半導體處理最通常用於製造積體電路,其具有特別嚴格之品質要求,但此處理亦用於多種其它領域。舉例而言,半導體處理技術常用於使用多種多樣的技術製造平板顯示器以及製造微機電系統(microelectromechanical system,MEMS)。
半導體製造工業中使用多種方法在表面上沈積材料。舉例而言,最廣泛使用之方法之一為化學氣相沈積(chemical vapor deposition,CVD),其中蒸氣中含有之原子或分子沈積在表面上且積聚以形成膜。在一些情形中,需要在諸如場隔離氧化物(field isolation oxide)之不同材料之場之間暴露的半導體窗內進行選擇性沈積。舉例而言,異質接面雙極電晶體(heterojunction bipolar transistor)常使用僅在主動區域上沈積磊晶(單晶體)半導體膜之選擇性沈積技術來製造。其它電晶體設計得益於升高之源極/汲極結構,所述結構提供可由源極/汲極接觸過程消耗之額外矽而不改變淺接面裝置效能。源極/汲極區上之選擇性磊晶有利地減少後續圖案化及蝕刻步驟之需要。
大體而言,選擇性利用在不同材料上之沈積期間不同 晶體形態之不同成核及/或形成。選擇性沈積可大體上解釋為正被沈積之材料之同時蝕刻及沈積。所選之前軀體將大體具有在一表面上成核及生長較快且在另一表面上較慢的趨勢。舉例而言,矽烷將大體在氧化矽以及矽上成核,但在氧化矽上存在較長之成核階段。在成核階段開始時,氧化物上之不連續膜相對於矽上之合併的連續膜具有高度暴露表面區域。類似地,絕緣區上之生長可為非晶或多晶的,而半導體窗上之生長可為磊晶的。因此,與矽上之較快成核的膜相比,添加至製程之蝕刻劑將對氧化物上之較弱成核的膜具有較大影響。類似地,蝕刻劑可針對自先前沈積或在沈積期間的非晶或多晶生長比針對磊晶生長更有效。因此可藉由調節諸如前軀體流動速率、溫度、壓力之影響沈積速率的因素以及諸如蝕刻劑流動速率、溫度、壓力之影響蝕刻速率的因素來調諧製程之相對選擇性。每一變量之改變將大體對蝕刻速率及沈積速率具有不同影響。通常,調諧選擇性沈積製程以在所關注之窗上產生可行的最高沈積速率,同時達成在場區中無沈積。
已知的選擇性矽沈積製程包含諸如矽烷及鹽酸與氫載氣之反應物。題為“SELECTIVE DEPOSITION OF SILICON-CONTAINING FILMS,”(“含矽膜之選擇性沈積”)之共同所有且共同待決之第11/343,264號美國專利申請案(2006年10月19日公開為U.S.2006/0234504 A1)教示使用丙矽烷作為矽源且用氯氣作為蝕刻劑的製程。此等選擇性沈積製程顯示改良之均勻性、純度、沈積速度以 及可重複性。然而,觀察到強放熱反應,此可能導致過早的反應物崩潰、對氣體混合罐之損壞、燃燒以及基板污染。其它選擇性沈積化學品亦經受過度反應性。因此,需要避免此類不利效應且同時維持其針對選擇性沈積之功效的反應裝置以及選擇性沈積製程。
現已發現用於選擇性形成層之製程及設備,其使前軀體與蝕刻劑源化學品之間的激烈反應之風險減到最小。所描述之製程及設備將反應性物種分離地供應至反應腔室,而非沿反應腔室上游之共同流動路徑供應。此等製程及設備避免不合意之熱形成及過早沈積,且減小損壞昂貴氣體面板單元的風險。到達腔室之分離流動路徑可避免對於同時供應前軀體/蝕刻劑或對於依序供應前軀體及蝕刻劑的過早及有害反應。
一實施例提供一種用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述基板包含第一表面及第二表面。所述方法包含將用於半導體沈積之前軀體以及蒸氣蝕刻劑經由分離流動路徑分離地引入所述反應空間,其中用於所述前軀體及所述蒸氣蝕刻劑之所述分離流動路徑在所述反應空間內之混合空間處相交,且形成自所述混合空間至所述基板之共同流動路徑。將所述基板暴露於所述前軀體及所述蒸氣蝕刻劑。所述方法更包含在所述第一表面上以大於所述第二表面上之平均速率選擇性形成所述半導體層。
另一實施例提供一種用於在基板上選擇性形成半導體膜之裝置。所述裝置包含化學氣相沈積(CVD)反應器,其包含反應空間。所述反應空間內定位有基板支撐件,且所述基板支撐件經大小設計及成形以接納基板。一或多個入口之第一入口組與所述反應空間流體連通,且一或多個入口之第二入口組與所述反應空間流體連通。第一氣體源經組態以將用於半導體沈積之前軀體供應至所述第一入口組。第二氣體源經組態以將蝕刻劑供應至所述第二入口組。所述入口組以及所述源界定用於所述前軀體及所述蝕刻劑到達所述反應空間內之混合空間的分離流動路徑,且其中所述分離流動路徑形成自所述混合空間至所述基板支撐件上之所述基板的共同流動路徑。
另一實施例提供一種裝置,其包含化學氣相沈積(CVD)反應器,所述CVD反應器包含反應空間。基板支撐件定位於所述反應空間內。一或多個入口之第一入口組與所述反應空間流體連通,其中所述第一入口組包括歧管,所述歧管經組態以經由多個入口在所述反應空間之整個寬度上散布用於半導體沈積之前軀體。一或多個入口之第二入口組與所述反應空間流體連通。第一氣體源經組態以將所述前軀體供應至所述第一入口組,且第二氣體源經組態以將蝕刻劑供應至所述第二入口組。所述入口組以及所述源界定用於所述前軀體及所述蝕刻劑到達所述反應空間內之混合空間的分離流動路徑,且其中所述分離流動路徑形成自所述混合空間至所述基板支撐件上之所述基板的 共同流動路徑。
另一實施例提供一種用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述基板包括第一表面及第二表面。所述方法包括將用於半導體沈積之前軀體以及蒸氣蝕刻劑經由界定分離流動路徑的分離入口分離地引入所述反應空間,其中用於所述前軀體及所述蒸氣蝕刻劑之所述分離流動路徑在所述反應空間內之混合空間處相交,且形成自所述混合空間至所述基板之共同流動路徑。將所述基板暴露於所述前軀體及所述蒸氣蝕刻劑。在所述第一表面上以大於所述第二表面之平均速率選擇性形成所述半導體層。下文更詳細描述此等及其它實施例。
為讓本發明之上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
在許多選擇性沈積製程中,在單晶體半導體材料上選擇性形成含矽層,同時最小化且較佳避免在鄰近介電質上之沈積。介電材料之實例包含二氧化矽(包含諸如碳摻雜或氟摻雜之低介電常數形式)、氮化矽、金屬氧化物以及金屬矽酸鹽。更一般而言,經圖案化或混合之基板具有兩種或兩種以上不同類型之表面。存在經混合基板之表面可彼此不同的多種方式。舉例而言,表面可具有不同材料組成、不同晶體形態及/或不同電性質。
即使材料由相同組合物製成,若形態(即,表面之結晶度)不同,則表面可能不同。本文所述之製程可用於在 多種基板上沈積含矽的膜,但尤其可用於具有混合表面形態之經混合基板。具有混合表面形態之經混合基板為包含具有第一表面晶體結構之第一表面以及第二不同表面晶體結構的基板。非晶、多晶以及單晶體為不同形態之實例。
磊晶沈積指以經沈積層採用或遵循基板之晶格常數之方式在結晶基板上沈積結晶半導體材料。磊晶沈積可為同質或異質的。同質沈積發生於經沈積層由與基板材料相同之材料形成時,諸如在單晶體矽基板上磊晶沈積矽層。異質沈積發生於經沈積層之組成不同於基板之組成時,諸如當在單晶體矽基板上磊晶沈積鍺或矽鍺時。
磊晶膜之特徵在於與其生長之基板的晶體結構及取向相同。通常,基板為單晶體,使得磊晶膜亦為單晶體。此等材料中之原子排列成一致的晶格狀結構,其以原子標度(atom scale)持續相對較長距離。相比而言,非晶形態為非結晶結構,其具有較低有序度,因為原子缺少明確之重複性排列。其它形態包含微結晶以及非晶材料與結晶材料之混合物。如本文使用,“單晶體”結構暗指磊晶沈積,且用於描述可能具有可容許數目之疵點的顯著較大之晶體結構,如電晶體製造所常用。熟習此項技術者將瞭解,層之結晶性大體沿自非晶至多晶至單晶體之連續區下降;熟習此項技術者可容易確定晶體結構何時可在具有低密度疵點情況下仍可視為單晶體或磊晶。熟習此項技術者將理解,本文描述之用於在具有兩種類型表面之經混合或圖案化之基板上沈積含矽膜的方法亦可應用於具有三種或三種 以上不同類型表面之經混合基板。
圖1說明用於在基板80上進行化學氣相沈積(CVD)之習知系統。本文使用之術語“基板”指期望進行沈積之工件或暴露於反應物氣體之表面。舉例而言,基板80可為單晶體矽晶圓,或可為絕緣體上半導體(semiconductor-on-insulator,SOI)基板,或可為先前形成於此類晶圓上之磊晶矽、SiGe或III-V材料。晶圓包含實質上平坦的基板,諸如具有200mm、300mm以及450mm直徑之基板。基板不限於晶圓,且亦包含玻璃、塑膠或用於半導體處理之任何其它基板。將來自第一反應物源10及第二反應物源20之蒸氣的氣體供應至氣體面板100。通常亦添加來自惰性氣體源40之惰性氣體以幫助化學氣相沈積製程。
習知在反應腔室300上游混合反應性氣體10、20,因為具有均勻反應物濃度之良好混合之同質混合物或饋送氣體30可能有利於在饋送氣體30到達反應腔室300時即在基板80上進行均勻沈積。因此,對於單程層疊水平流動單晶圓反應器,習知在氣體面板100處互混來自反應物源10、20之反應性氣體,以促進在反應腔室300中之基板80上進行均勻沈積。一旦來自源10、20、40之氣體在氣體面板100中互混,則將饋送氣體30傳遞至入口分配歧管200。歧管200用以在反應腔室300之整個寬度上分配饋送氣體30之流。歧管200可經組態而以增強反應腔室300中基板80上之半導體沈積之均勻性的方式分配流。通常, 饋送氣體30自氣體面板100向入口分配歧管200之傳遞是經由管或管道完成,所述管或管道具有實質上小於氣體面板100之橫截面積的橫截面積。此類管或管道可包含閥以調節饋送氣體30之流。此外,在歧管200內,饋送氣體30可經過限制饋送氣體30之流的其它管路而進入反應腔室300。因此,在來自反應物源10、20之氣體互混之後,饋送氣體30將通常經過位於氣體面板100內及下游之一個或若干瓶頸或流限制件。
使用來自第一反應物源10之用於半導體沈積之前軀體以及來自第二反應物源20之蝕刻劑的選擇性形成製程展示優良之選擇性及沈積速度。大體上,選擇性沈積製程導致半導體區域上之淨沈積速率大於絕緣體及窗上之沈積速率的5倍且較佳大於其10倍。完全選擇性製程導致在絕緣體或金屬上無淨沈積。無論同時或依序提供前軀體及蝕刻劑,皆在整個製程中計算淨沈積速率。然而,此等製程中之某些製程已在用於半導體沈積之前軀體與蝕刻劑氣體互混時展示強放熱反應。用於半導體CVD之前軀體可選自矽前軀體、鍺前軀體以及碳前軀體之群。矽前軀體可包含丙矽烷(Si3 H8 )、二氯甲矽烷(H2 SiCl2 ,dichlorosilane,DCS)、乙矽烷(Si2 H6 )、部分氯化乙矽烷、甲基矽烷、矽烷(SiH4 )或2,2-二氯丙矽烷。鍺前軀體包含鍺烷、乙鍺烷以及丙鍺烷。碳前軀體包含甲矽烷基烷烴,諸如單甲矽烷基甲烷、二甲矽烷基甲烷、三甲矽烷基甲烷以及四甲矽烷基甲烷,及/或烷基矽烷,諸如單甲基矽烷(monomethyl silane,MMS)以及二甲基矽烷。在一些實施例中,碳前軀體包括H3 Si-CH2 -SiH2 -CH3 (1,3-二矽丁烷)或CCl2 (SiH3 )2 (2,2-二氯二甲矽烷基甲烷)。蝕刻劑源包含氯氣(Cl2 )。
將丙矽烷及氯氣作為實例,相信在足夠高之部分壓力下,Cl2 與Si在放熱反應中反應而產生四氯化矽(SiCl4 )。Cl2 與Si3 H8 之高度放熱自燃反應可導致矽前軀體燃燒。反應可在反應腔室300上游發生,且因此導致矽過早沈積,此亦可導致後續之剝落或煙霧微粒形成以及污染。另外,有力的放熱反應可能會損壞反應點處的設備,諸如昂貴的氣體面板單元100。類似問題可隨半導體前軀體以及蝕刻劑氣體之其它組合而出現。在不受理論限制之情況下,相信反應物氣體在有限體積中之相互作用及/或使反應物氣體在進入反應腔室300之前沿共同或共用流動路徑穿過流量限制件可能引起此等問題。高度反應性前軀體及蝕刻劑在因極受限制之流動路徑中之此等限制件引起的高壓下的相互作用可能引起觀察到之高度能量化乃至爆炸反應。較之於較寬闊、體積較大之空間,在受限制之通路中損壞較大,且可能因抑制散熱而加劇反應性。請注意,無論是同時或是依序供應反應物,此類相互作用皆可發生於此等緊密受限範圍內。在依序供應中,來自第一脈衝之殘餘反應物在供應下一脈衝時不可避免地保留在共用流動路徑中,其可隨後在腔室上游之共用流動路徑中反應。
本文教示之實施例藉由將反應性物種分離地注入反應腔室300而避免在選擇性形成製程中半導體前軀體及蝕 刻劑物種之高度反應性組合的不合意效應。前軀體與蝕刻劑之尤其揮發性組合產生至少與DCS+Cl2 之反應同樣放熱之反應。若干高度反應性組合包含但不限於戊矽烷+Cl2 、丁矽烷+Cl2 、丙矽烷+Cl2 、乙矽烷+Cl2 以及部分氯化乙矽烷+Cl2 。下表針對某些矽前軀體在與Cl2 組合時在近似焓值(enthalpy value)方面比較其放熱性。
儘管本文描述內容大部分著重於丙矽烷+Cl2 之例示性組合,但熟習此項技術者自本文揭露內容將容易瞭解所描述之設備將亦有益於使用其它高度反應性組合之製程配方,尤其為在反應溫度下比DCS+Cl2 更為放熱之反應性組合(例如,比0℃下-270kcal/mol或550℃下-250kcal/mol更為放熱)。
在本發明不受理論限制情況下,相信本發明之實施例藉由提供用於前軀體及蝕刻劑之分離流動路徑而使過早反應物崩潰、燃燒、對設備之損壞及基板污染的風險減到最 小,進而避免其在氣體面板100處或在氣體面板100與反應腔室300之間的有限體積中的高壓下相互作用。而且,可藉由供應增加量之載氣且進而減小反應物之部分壓力、縮短氣體之駐存時間、提高氣體之速率及/或改良氣體之熱容而進一步最小化氣體之反應性。因為氣體避免在可能觸發或加劇上游反應之高壓區中的相互作用,所以中間反應性物種(例如,用於Si3 H8 +Cl2 反應物之SiHCl、SiH2 及Si2 H4 )可到達基板80而非使反應過早完成。另外,損壞昂貴設備之風險減少。
圖2繪示根據本發明一實施例之選擇性沈積系統的示意圖。在一實施例中,CVD反應腔室300可為單個晶圓反應器,尤其為水平氣流CVD腔室。在一些實施例中,反應腔室300為冷壁、輻射加熱、單晶圓、單程、層疊水平氣流反應器。此類型之合適反應器為市售的,包含可自ASM America,Inc.of Phoenix,Arizona購買之EpsilonTM 系列之單晶圓反應器。所說明實施例之反應腔室300(見圖3)包含旋轉基板,且允許低過程氣體駐留時間。可藉由原位或在遠端電漿產生器下游將電漿產物引入腔室而進行CVD。或者,可使用熱CVD。
如圖2說明,第一反應物源10及第二反應物源20與氣體面板100流體連通。選擇第一反應物源10及第二反應物源20以促進在基板上選擇性形成半導體膜。如上文解釋,選擇性沈積意味著諸如矽之膜以比在基板之表面之第二部分上大的平均速率沈積於同一表面之第一部分上。在 一些選擇性沈積實施例中,第二部分上之半導體沈積速率可能於蝕刻掉矽之速率幾乎相同,從而導致在第二部分上之有效沈積速率為零。循環沈積及蝕刻可具有相同作用。
在一實施例中,第一反應物源10含有矽前軀體,諸如選自由戊矽烷、丁矽烷、丙矽烷、DCS、乙矽烷、部分氯化乙矽烷、甲基矽烷以及矽烷組成之群的前軀體。在其它實施例中,第一反應物源10含有碳前軀體。在其它實施例中,第一反應物源10含有鍺前軀體。第一反應物源10可表示多個反應物容器,諸如用於沈積SiGe、Si:C或SiGe:C。第二反應物源20可含有含鹵素的蝕刻劑以向沈積過程提供選擇性。實施例可在第二反應物源20中使用含氯物種,諸如雙原子氯氣(Cl2 )。使用雙原子氯作為蝕刻劑即使在400℃至600℃之範圍內的低溫下亦可提供優良蝕刻能力,但與用於半導體沈積之前軀體組合可為高度反應性的。如上所述,當第一反應物源10及第二反應物源20之組合為產生放熱反應之高度反應性組合時,本文教示之製程提供特定優點。
惰性氣體源40亦可與氣體面板100連通以作為用於第一反應物源10或第二反應物源20之淨化氣體及/或載氣。可以將載氣供應至第二入口歧管220,載氣可以包括至少一不含氫之惰性氣體。特定而言,惰性氣體源40可在其至腔室300之入口處為反應物提供反壓,因此防止來自第一反應物源10之氣體擴散至第二入口歧管220中,且反之亦然,無論同時或依序供應前軀體及蝕刻劑皆如此。惰 性氣體源40亦可由於其熱容而移除熱,藉此降低反應物之溫度。此外,惰性氣體源40可稀釋來自第一反應物源10及第二反應物源20之氣體(即,減小反應物之濃度),藉此在需要時減慢反應並幫助穩定緩慢之前軀體分解。此亦產生有利之前軀體利用率及膜之高生長速率,以及反應腔室300中之較低溫度。為最小化放熱反應,在一些實施例中,惰性氣體源40包含氦(He)、氬(Ar)、氮(N2 )、氙(Xe)、氪(Kr)、氖(Ne)、氯化氫(HCl)或其它在沈積條件下不反應的氣體。載氣可更包含諸如題為“INHIBITORS FOR SELECTIVE DEPOSITION OF SILICON CONTAINING FILMS,”(“用於含矽膜之選擇性沈積的抑制劑”)之共同所有且共同待決之第11/925,518號美國專利申請案中揭露之抑制劑,所述申請案中對用於減速矽前軀體與含氯蝕刻劑之間的反應的合適抑制劑試劑之說明以引用方式併入本文。實例包含丙烯、丁二烯、苯、萘、菲、蒽、環庚三烯陽離子(cycloheptatrienecation)、環庚三烯(cyclohelptatrien)、呋喃、吡啶、吡咯以及噻吩。由於惰性氣體源40稀釋並降低第一反應物源10及第二反應物源20之溫度且因此抑制反應的能力,可供應來自惰性氣體源40之相對較高流動速率之氣體以用於第一反應物源10及第二反應物源20之相對較具反應性之組合。舉例而言,在使用作為極高反應性組合之丙矽烷及氯氣之實例中,來自惰性氣體源40之氣體具有在約10slm與40slm之間的流動速率。
氣體面板100可包含閥、大流量控制器(mass flow controller,MFC)以及允許操作者平衡各種參數以優化反應腔室300中之沈積的其它控制元件。此類參數包含但不限於流動速率、溫度以及來自惰性氣體源40、第一反應物源10以及第二反應物源20之蒸氣的總壓力,以及第一反應物源10之用於半導體沈積之前軀體與第二反應物源20之含氯物種之間的莫耳比。在與第二反應物源20同時使用丙矽烷作為第一反應物源10的實施例中,丙矽烷與含氯物種之間的莫耳比可在約1:3與1:12之間,尤其在約1:5與1:10之間。為緩和反應性,丙矽烷之流動速率可小於約100毫克每分鐘,尤其小於約50毫克每分鐘,更特定而言小於約25毫克每分鐘。
顯然,儘管惰性氣體源40可與第一反應物源10或第二反應物源20或兩者組合,但實施例防止來自第一反應物源10及第二反應物源20之氣相反應物在氣體面板100中相互作用。事實上,來自第一反應物源10之氣體及來自第二反應物源20之氣體的流動路徑僅在反應腔室300內的混合點或空間處第一次相交。合適的氣體面板市面有售,諸如來自ASM America,Inc.of Phoenix,Arizona之E2000TM 、E2500TM 、E3000TM 、E3200TM 以及E3220TM
仍參看圖2,來自反應物源10、20之氣體或蒸氣借助於入口凸緣205與反應腔室連通。特定而言,來自氣體面板100之蒸氣自第一反應物源10流動至入口凸緣205之第一入口歧管210,而來自第二反應物源20之蒸氣分離地流 動至入口凸緣205之第二入口歧管220。入口歧管210、220經組態以在由反應腔室300部分界定的反應空間340(圖3)之整個寬度上分配氣體。在反應腔室300之下游端之排氣凸緣360包括排氣出口361,其經組態以自反應空間340(圖3)排出過量反應物蒸氣以及反應副產物。此過程可借助於與排氣出口361連通之泵362而完成。
圖3為說明反應空間340之示意性橫截面。如本文描述之反應空間340包含由反應腔室300之石英壁界定之空間以及入口凸緣205之上游晶圓插入開口,晶圓經由所述開口裝載及卸載。前軀體及蝕刻劑流動路徑保持分離穿過第一入口歧管210及第二入口歧管220且在晶圓插入開口341內之混合空間342處第一次相交。自混合點342,前軀體及蝕刻劑遵循基板支撐件350上之共用或共同流動路徑,所述支撐件350經大小設計及成形以支撐基板80。過量反應物及副產物沿層疊水平共同流動路徑持續至排氣凸緣360。
如圖3-圖5所示,第一或上部入口歧管210可包含若干注射器212,注射器212控制進入反應腔室300內之反應空間340中的相對流。
具體而言,來自第一反應物源10之氣體進入與每一注射器212連通之通道211(見圖5)。每一注射器212控制自通道211經過相關聯進入管道213之流動路徑。每一進入管道213引至一水平通道214中,水平通道214橫穿反應空間340之寬度之一部分。自通道214,氣體經由狹 縫216流入反應空間340。狹縫216具有小於通道214的橫穿氣流路徑之橫截面積,且因此為對來自第一反應物源10之氣體之瓶頸或流量限制,從而幫助在狹縫216橫穿的反應空間340之寬度之所述部分上散布氣體。圖3-圖5說明第一入口歧管210之一實施例,但可替代使用其它組態以在反應腔室300之整個寬度上分配氣體。舉例而言,來自第一反應物源10之氣體可自單個通道或自橫穿反應空間340之寬度之全部或一實質部分的單個狹縫直接流入反應空間340,而非自如圖示之多個狹縫216流入。因此,第一入口歧管210可界定如圖所示之至反應空間340之多個入口或單個入口。
至於第二或下部入口歧管220,由供應線222供應之來自第二反應物源20之氣體流入下部水平通道224,下部水平通道224橫穿反應空間340之寬度之全部或一部分以便更均勻地分配氣體。自下部通道224,氣體經由多個下部進入管道226流入反應空間340。如圖4-圖5所示,所述多個下部進入管道226包括在反應空間340之整個寬度上分佈之離散通路。在所說明之實施例中,下部進入管道226定位於在第一入口歧管210之狹縫216對面且在其之間的相對的壁上,以便於使氣體在進入反應空間340時均勻混合以用於同時供應操作模式之操作。即使對於依序供應模式,分別由狹縫216及下部入口管道表示之上部入口及下部入口之交替的所說明配置亦可幫助藉由在反應物自入口組中一者流動時混合來自入口組中另一者之惰性氣體 而散布經由其供應之反應物。在附圖未示之替代實施例中,來自第二反應物源之蒸氣可經由單個狹縫或多個狹縫進入反應空間340,所述狹縫之寬度橫穿反應空間340之寬度之全部或一實質部分,使得第二入口歧管220之入口可類似於第一入口歧管210之入口或狹縫216。來自供應線222之氣體具備足夠反壓以在同時或依序供應操作模式中防止來自第一反應物源10之氣體擴散至入口226中。
由此,來自第一反應物源10之氣體可能在反應空間340中之混合空間342處與來自第二反應物源20之氣體相互作用。混合空間342因此表示來自第一反應物源10及第二反應物源20之反應物之流動路徑之間的第一相交。
在一些操作模式中,將來自第一反應物源10之氣體及來自第二反應物源20之氣體依序引入反應空間340,使得來自反應物源10、20之反應物既定不在處理期間混合。所說明之分離流動路徑仍減少對於此類依序操作模式在反應物之間的爆炸性相互作用之風險。因為所說明之入口凸緣205將用於反應物之流動路徑保持為分離,直至其在混合空間342處相交為止,所以不存在來自一脈衝之殘餘反應物將與氣體面板100(圖2)或入口歧管210、220之受限制的範圍內之其它反應物之後續脈衝相互作用的危險。2007年12月13日公開之共同所有且共同待決之第2007/0287272號(代理人案號ASMEX.583A)美國專利申請公開案之揭露內容以引用方式併入本文,以描述藉由依序而非同時供應沈積前軀體及蝕刻劑而完成半導體膜之選 擇性形成的例示性製程。'463專利申請案之依序且較佳循環的製程用於在經圖案化或混合之基板之絕緣單晶體矽表面上進行毯覆沈積,隨後為對非磊晶材料之選擇性蝕刻。在不存在蝕刻劑或足夠低位準之蝕刻劑之情況下進行毯覆沈積,以在所有表面上形成顯著淨沈積。磊晶半導體材料保留於經圖案化基板之單晶體半導體窗上,而非磊晶材料保留於其它表面上,諸如絕緣體上金屬。非磊晶(例如,非晶或多晶)半導體材料較易經受蝕刻,且後續蝕刻劑脈衝經定時以移除來自沈積的所有非磊晶材料,同時保留來自沈積的磊晶材料之至少一部分。
在其它操作模式中,將氣體同時引入反應空間340,且氣體在選擇性沈積期間實際混合且相互作用。當前軀體及蝕刻劑在反應空間內在較低壓力下且在比上游氣體面板100(圖2)及入口歧管210、220大之體積中混合時,激烈反應對設備之損壞的風險較小。較佳將條件選擇為維持例如100%選擇性、絕緣表面上之零淨沈積以及單晶體半導體窗上之一些淨磊晶沈積。
返回參看圖2,上述同時操作模式及依序操作模式可藉由控制器250之指令或程式化而實施。可包含通用或專用電腦之控制器250與氣體面板100上之諸如閥及大流量控制器的裝置以及其它位置處之流量控制裝置連通。舉例而言,源10、20中之一或多者可具有與控制器250連通之閥及加熱器。控制器250亦調節影響處理參數之其它裝置,諸如溫度控制系統,其亦接收來自溫度感測器之輸入 並調節給予腔室300外部之輻射加熱器及真空泵362之電力。控制器250因此經程式化以實施製程配方,諸如上述同時或依序選擇性磊晶形成製程。
圖3-圖5所示之實施例提供自上方及下方向反應空間340中注入氣體之第一入口歧管210及第二入口歧管220。來自反應物源10、20之反應物因此自相對壁或相反方向流入反應空間340。熟習此項技術者將瞭解本文教示之設備及製程之某些優點將以其它幾何組態獲得。
如圖3說明,基板80位於反應空間340內,且反應物氣體可自由流動而不經受自混合空間342至基板80之任何流量限制。反應空間340之含有混合空間342之部分的寬度可至少與基板80一樣寬,且在所說明之實施例中混合空間342在晶圓插入開口341內,所述開口經大小設計及成形以使基板80經過其中以進行裝載及卸載。不存在流量限制意味著反應物氣體在可能發生相互作用之混合點處不經受增加之壓力。因此,可避免半導體過早沈積或其它不希望之例如爆炸性反應。在混合空間342與基板80之間,壓力差可實質上為零。然而在一些實施例中,壓力差可為負,亦即壓力可在混合空間342與基板80之間減小。在一些實施例中,反應空間340中之溫度低於約750℃,且特定而言在約500℃與約600℃之間。反應空間340中之總壓力可在1托與200托之間。
實例1:丙矽烷與Cl2 之依序流動
在根據本發明之實施例之一製程中,使用丙矽烷以及 甲基矽烷作為碳源且用磷化氫作為摻雜劑進行毯覆(非選擇性)沈積。此製程是使用上述設備以分離之進入反應空間之入口實施。在沈積期間反應空間中之溫度大約為550℃,且壓力大約為100托。隨後,執行淨化(purge)5秒且將壓力減小至大約10托。隨後使用Cl2 進行蝕刻階段。據發現此製程可避免矽過早沈積之問題。此製程中氣體之流動速率可經優化以平衡速度與均勻性。如上所述,可在具有絕緣及單晶體矽表面之經圖案化基板上執行依序毯覆沈積製程。在毯覆沈積期間,磊晶半導體材料保留在經圖案化基板之單晶體半導體窗上,而非磊晶材料保留在其它表面上,諸如絕緣體。後續蝕刻階段隨後可移除非磊晶材料。據發現界定為非晶材料之蝕刻速率除以單晶體之蝕刻速率的蝕刻速率選擇性大於7。
實例2:DCS及Cl2 之同時流動
在根據本發明實施例之另一製程中,將甲基矽烷作為碳源且將磷化氫作為摻雜劑,與蝕刻劑Cl2 同時引入矽前軀體DCS。此製程是使用上述設備進行,其中具有單獨的進入反應空間之入口。反應空間溫度在約550℃與約600℃之間,且反應空間中之壓力為大氣壓。DCS之流動速率為約500sccm。Cl2 之流動速率在約3sccm與約10sccm之間。據發現此製程可避免矽過早沈積之問題。
實例3:丙矽烷及Cl2 之同時流動
在根據本發明實施例之另一製程中,將矽前軀體丙矽烷與蝕刻劑Cl2 同時引入。此製程是使用上述設備進行, 其中具有單獨的進入反應空間之入口。反應空間溫度在約525℃與約575℃之間,且反應空間中之壓力在約4托與約32托之間。丙矽烷以約50毫克/分鐘之流動速率引入約10分鐘,同時引入約200sccm之Cl2 及約100sccm之1%磷化氫。據發現此製程可避免矽過早沈積之問題,且據發現沈積之選擇性是可接受的。
上述實施例提供用於分離地提供用於半導體沈積之前軀體及提供選擇性之蝕刻劑的方法及設備。用於此兩種反應物之第一相交或混合空間在相對寬廣之反應空間內,而非上游歧管及氣體面板。藉由避免在反應空間上游之部分流動路徑之緊密受限範圍內的相互作用,避免可能有害之反應,或者此類反應發生於反應不限於小體積、壓力可保持較低且引起較少損壞的反應空間內。無論是在同時步驟或在依序步驟中供應用於選擇性膜形成之前軀體及蝕刻劑,均可獲得優點。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,故本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10‧‧‧前軀體/第一反應物源
20‧‧‧蝕刻劑/第二反應物源
30‧‧‧饋送氣體
40‧‧‧惰性氣體源
80‧‧‧基板
100‧‧‧氣體面板
200‧‧‧入口分配歧管
205‧‧‧入口凸緣
210‧‧‧第一入口歧管
211‧‧‧通道
212‧‧‧注射器
213‧‧‧管道
214‧‧‧水平通道
216‧‧‧狹縫
220‧‧‧第二入口歧管
222‧‧‧供應線
224‧‧‧下部水平通道
226‧‧‧下部進入管道
300‧‧‧反應腔室
340‧‧‧反應空間
341‧‧‧晶圓插入開口
342‧‧‧混合空間
350‧‧‧基板支撐件
360‧‧‧排氣凸緣
361‧‧‧排氣出口
362‧‧‧泵
圖1繪示用於基板上之化學氣相沈積(CVD)的已知裝置。
圖2為根據一實施例之CVD系統之示意圖。
圖3為根據一實施例之CVD系統之橫截面側視圖。
圖4為圖3所示之CVD系統之細節圖。
圖5為根據一實施例之CVD系統之橫截面正視圖。
10‧‧‧前軀體/第一反應物源
20‧‧‧蝕刻劑/第二反應物源
40‧‧‧惰性氣體源
100‧‧‧氣體面板
205‧‧‧入口凸緣
210‧‧‧入口歧管
220‧‧‧入口歧管
300‧‧‧反應腔室
360‧‧‧排氣凸緣
361‧‧‧排氣出口
362‧‧‧泵

Claims (33)

  1. 一種用於在反應空間中之基板上選擇性形成半導體層的方法,所述基板包括第一表面及第二表面,所述方法包括:將用於半導體沈積之前軀體以及蒸氣蝕刻劑經由分離流動路徑分離地引入所述反應空間,其中用於所述前軀體及所述蒸氣蝕刻劑之所述分離流動路徑在所述反應空間內之混合空間處相交,且形成自所述混合空間至所述基板之共同流動路徑;將所述基板暴露於所述前軀體及所述蒸氣蝕刻劑;以及在所述第一表面上以大於所述第二表面之平均速率選擇性形成所述半導體層。
  2. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中分離地引入及暴露包括:將所述前軀體引入所述反應空間以在所述第一表面及所述第二表面兩者上沈積毯覆層;以及隨後引入所述蒸氣蝕刻劑以自所述第二表面選擇性移除所述毯覆層,同時保留所述第一表面上之所述毯覆層之至少一部分。
  3. 如申請專利範圍第2項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,更包括循環重複引入所述前軀體以沈積毯覆層以及隨後引入所述蒸氣蝕刻劑以 進行選擇性移除。
  4. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,分離地引入包括自不同方向將所述前軀體及所述蒸氣蝕刻劑引入所述反應空間。
  5. 如申請專利範圍第4項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中分離地引入包括自相反方向將所述前軀體及所述蒸氣蝕刻劑引入所述反應空間。
  6. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述共同流動路徑在所述混合空間與所述基板之間未遇到流量限制。
  7. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,更包括在分離地引入、暴露以及選擇性形成期間將所述反應空間維持於1托與200托之間的壓力下。
  8. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中引入包括將所述前軀體及所述蒸氣蝕刻劑兩者同時引入所述反應腔室。
  9. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述第一表面及第二表面具有不同之表面形態。
  10. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述前軀體包 括選自由矽、碳以及鍺組成之群的至少一元素。
  11. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中分離地引入包括分離地引入丙矽烷及氯氣。
  12. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述前軀體及所述蒸氣蝕刻劑可在至少與二氯甲矽烷與氯氣之間的反應具相同放熱性之反應中彼此反應。
  13. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中選擇性形成包括在不在所述第二表面上淨沈積之情況下在所述第一表面上磊晶沈積所述半導體層,其中所述第二表面包括絕緣體。
  14. 如申請專利範圍第1項所述之用於在反應空間中之基板上選擇性形成半導體層的方法,其中所述蒸氣蝕刻劑包括雙原子氯(Cl2 )。
  15. 一種用於在基板上選擇性形成半導體膜之裝置,所述裝置包括:化學氣相沈積(CVD)反應器,其包括反應空間;基板支撐件,其在所述反應空間內,其中所述基板支撐件經大小設計及成形以接納基板;一或多個入口之第一入口組,其與所述反應空間流體連通;一或多個入口之第二入口組,其與所述反應空間流體連通; 第一氣體源,其經組態以將用於半導體沈積之前軀體供應至所述第一入口組;以及第二氣體源,其經組態以將蝕刻劑供應至所述第二入口組,其中所述入口組以及所述源界定用於所述前軀體及所述蝕刻劑到達所述反應空間內之混合空間的分離流動路徑,且其中所述分離流動路徑形成自所述混合空間至所述基板支撐件上之所述基板的共同流動路徑。
  16. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中對所述混合空間與所述基板支撐件之間的流體流動無限制。
  17. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述混合空間具有足以使所述基板穿過的寬度。
  18. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述化學氣相沈積反應器包括單個晶圓之水平流動腔室。
  19. 如申請專利範圍第18項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述第一入口組及第二入口組形成於鄰近所述腔室之入口凸緣中,其中所述反應空間包含由所述入口凸緣界定之所述混合空間以及由所述腔室界定之內部空間。
  20. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述第一入口組及第二入口組安置於所述混合空間之不同壁上。
  21. 如申請專利範圍第20項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述第一入口組及第二入口組安置於所述混合空間之相對壁上。
  22. 如申請專利範圍第20項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述第一入口組及第二入口組安置於所述腔室之入口端處,所述基板支撐件定位於所述入口端與所述腔室之排氣端之間。
  23. 如申請專利範圍第22項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述第一入口組及第二入口組分別安置於所述混合空間之上方及下方。
  24. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述前軀體包含丙矽烷(Si3 H8 )。
  25. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述蝕刻劑包含雙原子氯(Cl2 )。
  26. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中將載氣供應至所述第二入口組,所述載氣包括至少一不含氫之惰性氣體。
  27. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述CVD反應器包括經組態以加熱定位於所述基板支撐件上之基板的輻射加熱器。
  28. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,其中所述混合空間界定於鄰近閘 閥之基板插入開口內,所述閘閥位於所述反應空間的一端處。
  29. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,更包括控制器,所述控制器經程式化以將所述前軀體及所述蝕刻劑交替且依序地分別供應至所述第一入口組及第二入口組。
  30. 如申請專利範圍第15項所述之用於在基板上選擇性形成半導體膜之裝置,更包括控制器,所述控制器經程式化以將所述前軀體及所述蝕刻劑同時分別供應至所述第一入口組及第二入口組。
  31. 一種化學氣相沈積裝置,包括:化學氣相沈積(CVD)反應器,其包括反應空間;基板支撐件,其在所述反應空間內;一或多個入口之第一入口組,其與所述反應空間流體連通,其中所述第一入口組包括歧管,所述歧管經組態以經由多個入口在所述反應空間之整個寬度上散布用於半導體沈積之前軀體;一或多個入口之第二入口組,其與所述反應空間流體連通;第一氣體源,其經組態以將所述前軀體供應至所述第一入口組;以及第二氣體源,其經組態以將蝕刻劑供應至所述第二入口組,其中所述入口組以及所述源界定用於所述前軀體及 所述蝕刻劑到達所述反應空間內之混合空間的分離流動路徑,且其中所述分離流動路徑形成自所述混合空間至所述基板支撐件上之所述基板的共同流動路徑。
  32. 如申請專利範圍第31項所述之化學氣相沈積裝置,其中所述第二入口組包括歧管,所述歧管經組態以經由多個狹縫在所述反應空間之整個寬度上散布所述蝕刻劑。
  33. 一種用於在反應空間中之基板上選擇性形成半導體層的方法,所述基板包括第一表面及第二表面,所述方法包括:將用於半導體沈積之前軀體以及蒸氣蝕刻劑經由界定分離流動路徑的分離入口分離地引入所述反應空間,其中用於所述前軀體及所述蒸氣蝕刻劑之所述分離流動路徑在所述反應空間內之混合空間處相交,且形成自所述混合空間至所述基板之共同流動路徑;將所述基板暴露於所述前軀體及所述蒸氣蝕刻劑;以及在所述第一表面上以大於所述第二表面之平均速率選擇性形成所述半導體層。
TW097143524A 2007-12-21 2008-11-11 化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法 TWI445117B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/963,627 US7655543B2 (en) 2007-12-21 2007-12-21 Separate injection of reactive species in selective formation of films

Publications (2)

Publication Number Publication Date
TW200929419A TW200929419A (en) 2009-07-01
TWI445117B true TWI445117B (zh) 2014-07-11

Family

ID=40474957

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097143524A TWI445117B (zh) 2007-12-21 2008-11-11 化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法

Country Status (6)

Country Link
US (2) US7655543B2 (zh)
EP (1) EP2231896B1 (zh)
JP (1) JP5162674B2 (zh)
KR (1) KR101413189B1 (zh)
TW (1) TWI445117B (zh)
WO (1) WO2009085376A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US8363586B2 (en) * 2008-12-31 2013-01-29 Intel Corporation Social networking and advertisements in a mobile device on a local personal area network
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
EP2553143B1 (en) * 2010-03-29 2017-10-04 Koolerheadz Modular gas injection device
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10569039B2 (en) 2014-09-17 2020-02-25 Richard M. Levitan Introducer for tracheal tube intubation
GB2547017B (en) 2016-02-04 2021-08-04 Intersurgical Ag Improvements to intubation aids
KR102585595B1 (ko) * 2017-07-31 2023-10-10 어플라이드 머티어리얼스, 인코포레이티드 배플을 갖는 가스 공급 부재

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS61291410A (ja) * 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
EP0254651B1 (en) 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JP3149464B2 (ja) * 1991-06-28 2001-03-26 日本電気株式会社 シリコンエピタキシャル膜の選択成長方法及びその装置
JPH05102509A (ja) * 1991-10-11 1993-04-23 Canon Inc 太陽電池及びその製造方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
JPH06338456A (ja) * 1993-05-31 1994-12-06 Sony Corp 気相成長装置
EP0637058B1 (en) * 1993-07-30 2004-03-31 Applied Materials, Inc. Method of supplying reactant gas to a substrate processing apparatus
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08213343A (ja) * 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5654589A (en) * 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100236069B1 (ko) * 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) * 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) * 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
JP2000212749A (ja) 1999-01-22 2000-08-02 Ulvac Japan Ltd 薄膜形成装置、及び窒化タングステン薄膜製造方法
DE69913092T2 (de) * 1999-01-27 2004-09-09 Commissariat à l'Energie Atomique Microassay zur Serienanalyse der Genexpression und Anwendungen davon
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) * 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
EP1125321B1 (en) 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
JP3925780B2 (ja) 1999-12-15 2007-06-06 エー・エス・エムジニテックコリア株式会社 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
DE10026180A1 (de) 2000-05-26 2001-12-06 Steag Rtp Systems Gmbh Vorrichtung und Verfahren zum Beschichten von Objekten
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6770144B2 (en) * 2000-07-25 2004-08-03 International Business Machines Corporation Multideposition SACVD reactor
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
AU2001283138A1 (en) * 2000-08-07 2002-02-18 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
TW511185B (en) * 2000-08-11 2002-11-21 Tokyo Electron Ltd Substrate processing apparatus and processing method
US6444495B1 (en) * 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100474971B1 (ko) * 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
JP4086610B2 (ja) * 2002-09-27 2008-05-14 株式会社日立国際電気 基板処理装置
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4782670B2 (ja) * 2003-03-13 2011-09-28 エーエスエム アメリカ インコーポレイテッド エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7071127B2 (en) * 2003-05-20 2006-07-04 Promos Technologies, Inc. Methods for improving quality of semiconductor oxide composition formed from halogen-containing precursor
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7020981B2 (en) 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
JP2005243924A (ja) * 2004-02-26 2005-09-08 Hitachi Kokusai Electric Inc 基板処理装置
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR20070006852A (ko) * 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
JP2006253696A (ja) 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
GB0505752D0 (en) * 2005-03-21 2005-04-27 Element Six Ltd Diamond based substrate for gan devices
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
JP2007157866A (ja) * 2005-12-02 2007-06-21 Sony Corp 成膜方法および半導体装置の製造方法
JP2007197302A (ja) * 2005-12-28 2007-08-09 Sumitomo Electric Ind Ltd Iii族窒化物結晶の製造方法および製造装置
WO2007084493A2 (en) 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
EP2021525A2 (en) * 2006-04-07 2009-02-11 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films

Also Published As

Publication number Publication date
KR20100103552A (ko) 2010-09-27
KR101413189B1 (ko) 2014-06-27
TW200929419A (en) 2009-07-01
JP5162674B2 (ja) 2013-03-13
WO2009085376A2 (en) 2009-07-09
US7897491B2 (en) 2011-03-01
WO2009085376A3 (en) 2009-10-15
EP2231896B1 (en) 2017-12-27
JP2011508426A (ja) 2011-03-10
US7655543B2 (en) 2010-02-02
US20100093159A1 (en) 2010-04-15
EP2231896A2 (en) 2010-09-29
US20090163001A1 (en) 2009-06-25

Similar Documents

Publication Publication Date Title
TWI445117B (zh) 化學氣相裝置以及用於在反應空間中之基板上選擇性形成半導體層的裝置與方法
US8486191B2 (en) Substrate reactor with adjustable injectors for mixing gases within reaction chamber
TWI598458B (zh) 藉由熱cvd形成鍺錫合金之方法與設備
TW202024382A (zh) 用於在反應室中之基板上形成金屬矽酸鹽膜的方法及相關半導體裝置結構
KR101193628B1 (ko) 저온 실리콘 화합물 증착
US7674337B2 (en) Gas manifolds for use during epitaxial film formation
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
US20070155138A1 (en) Apparatus and method for depositing silicon germanium films
US10927459B2 (en) Systems and methods for atomic layer deposition
US20110039419A1 (en) Methods for forming dielectric layers
KR20140031210A (ko) 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JPWO2005071723A1 (ja) 半導体装置の製造方法および基板処理装置
WO2005113855A1 (en) Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
JP2008038254A (ja) ラジカルアシストによるバッチ式膜堆積
JP2004529489A (ja) 高誘電率ゲート絶縁層の形成方法
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
US20120003833A1 (en) Methods for forming tungsten-containing layers
TW202205383A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
WO2007117576A2 (en) Gas manifolds for use during epitaxial film formation
US9029264B2 (en) Methods for depositing a tin-containing layer on a substrate
TW202217965A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
KR20210141384A (ko) 다중 전구체를 사용하여 실리콘 게르마늄 균일도를 제어하기 위한 방법
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법