KR101413189B1 - 막들의 선택적 형성에 있어서 반응성 종의 분리 주입 - Google Patents

막들의 선택적 형성에 있어서 반응성 종의 분리 주입 Download PDF

Info

Publication number
KR101413189B1
KR101413189B1 KR1020107014878A KR20107014878A KR101413189B1 KR 101413189 B1 KR101413189 B1 KR 101413189B1 KR 1020107014878 A KR1020107014878 A KR 1020107014878A KR 20107014878 A KR20107014878 A KR 20107014878A KR 101413189 B1 KR101413189 B1 KR 101413189B1
Authority
KR
South Korea
Prior art keywords
etchant
precursor
reaction space
substrate
space
Prior art date
Application number
KR1020107014878A
Other languages
English (en)
Other versions
KR20100103552A (ko
Inventor
마티아스 바우어
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20100103552A publication Critical patent/KR20100103552A/ko
Application granted granted Critical
Publication of KR101413189B1 publication Critical patent/KR101413189B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

막들의 선택적 에피텍셜 형성을 위한 방법들 및 장치들은 반응 종들(10, 20)을 CVD 챔버(300) 내에 분리하여 주입한다. 방법들은 전구체들(10) 및 식각제들(20)의 휘발성 결합들을 사용하는 선택적 증착에 있어서 특히 유용하다. 형성 공정들은 선택적 증착을 위한 전구체들(10) 및 식각제들(20)의 동시적인 공급, 또는 주기적인 전면 증착 및 선택적 식각을 위한 순차적인 공급을 포함한다. 어느 하나의 경우, 전구체들(10) 및 식각제들(20)은, 보다 한정된 상류 지점들이 아닌 상대적으로 개방된 반응 공간(340)에서 교차되는 별도의 유로들을 따라서 공급된다.

Description

막들의 선택적 형성에 있어서 반응성 종의 분리 주입{Separate injection of reactive species in selective formation of films}
본 출원은 일반적으로 반도체 공정에 관한 것이다. 더욱 상세하게는, 본 출원은 막들의 선택적인 증착 및 이를 위해 구성된 장비에 관한 것이다.
알려진 바와 같이, 반도체 공정은 집적 회로들의 제조에 가장 일반적으로 사용되며, 특별히 엄격한 품질 요구들을 수반하지만, 그러한 공정은 다른 다양한 분야들에서도 사용된다. 예를 들어, 반도체 공정 기술들은 다방면의 기술들을 사용하는 평판 디스플레이(flat panel display)들의 제조 및 마이크로 전자 기계 시스템(microelectromechanical system, MEMS)들의 제조에 흔히 사용된다.
반도체 제조 산업에서 물질들을 표면들에 증착하기 위해서 다양한 방법들이 사용된다. 예를 들어, 가장 널리 사용되는 방법들 중 하나는 화학 기상 증착(chemical vapor deposition, CVD)으로, 증기 내에 포함된 원자들 또는 분자들이 표면 상에 증착되고 막을 형성하기 위해 쌓여진다. 어떤 경우에는, 필드 분리 산화물(field isolation oxide)과 같이 다른 물질들의 영역들 중 노출된 반도체 윈도우(window)들 내에 선택적으로 증착되는 것이 바람직하다. 예를 들어, 헤테로 접합 바이폴라 트랜지스터(heterojunction bipolar transistor)들은 흔히 활성 구역들 상에만 에피텍셜(epitaxial)(단결정) 반도체 막들을 증착하는 선택적 증착 기술들을 사용해서 제조된다. 다른 트랜지스터 디자인들은 상승된(elevated) 소스/드레인 구조들에서 이점을 가지며, 상기 구조들은 얕은 접합(shallow junction) 소자 성능을 변화시키지 않고 소스/드레인 콘택 공정에 의해 소모될 수 있는 추가적인 실리콘을 제공한다. 소스/드레인 영역들 상의 선택적 에피텍시는 후속의 패터닝 및 식각 단계에 대한 요구를 감소시킬 수 있다.
일반적으로 말해서, 선택성은 이종의 물질들 상에 증착하는 동안 차별적인 핵생성(nucleation) 및/또는 다른 결정 모폴로지(morphology)의 형성을 이용한다. 선택적 증착은 동시에 일어나는 증착되는 물질의 증착 및 식각에 의해 일반적으로 설명될 수 있다. 선택된 전구체(precursor)는 일반적으로 하나의 표면 상에서 더 빠르게 핵생성되고 성장되며 다른 표면 상에서는 덜 빠른 경향을 가질 것이다. 예를 들어, 실란(silane)은 일반적으로 실리콘 산화물 및 실리콘 모두에서 핵생성될 것이나, 실리콘 산화물 상에서는 핵생성 단계가 더 길다. 핵생성 단계의 시작에서, 산화물 상의 불연속적인 막들은 상대적으로 실리콘 상의 융합(merge)되고 연속적인 막들에 비하여 고도의 노출된 표면 구역을 갖는다. 유사하게, 절연 영역들 상의 성장은 비정질(amorphous) 또는 다결정(polycrystalline)일 수 있지만, 반도체 윈도우들 상의 성장은 단결정일 수 있다. 따라서, 상기 공정에 추가되는 식각제는 실리콘 상의 빠른 핵생성 막에 비하여 산화막 상의 열악한 핵생성 막 상에서 더 큰 효과를 나타낼 것이다. 유사하게, 식각제는 에피텍셜 성장에 대항한 경우보다, 이전의 증착으로부터이든 또는 증착 중이든 비정질 또는 다결정 성장에 대항하여 더 효과적일 수 있다. 그러므로 공정의 상대적인 선택성은 전구체 유속(flow rate), 온도 및 압력과 같은 증착 속도에 영향을 미치는 요인들 및 예컨대 식각제 유속, 온도 및 압력과 같은 식각 속도에 영향을 미치는 요인들을 조절함으로써 제어될 수 있다. 각각의 변수들의 변화들은 일반적으로 식각 속도 및 증착 속도에 대해 다른 효과들을 나타낼 것이다. 통상적으로, 선택적 증착 공정은 필드 영역들에 증착이 이루어지지 않으면서 대상 윈도우 상에서 실현 가능한 최대 증착 속도를 산출하도록 제어된다.
공지의 선택적 실리콘 증착 공정들은 수소 캐리어(carrier) 가스를 갖는 염산 및 실란과 같은 반응제(reactant)들을 포함한다. “SELECTIVE DEPOSITION OF SILICON-CONTAINING FILMS”이란 발명의 명칭으로 공동-소유되고 공동-계류 중이며 2006년 10월 19일에 U.S.2006/0234504 A1로 공개된 미국 특허 출원 제11/343,264호는 실리콘 소스로 트리실란(trisilane)과 식각제로 염소 가스를 사용한 공정들을 교시한다. 이들 선택적 증착 공정들은 개선된 균일성(uniformity), 순도(purity), 증착 속도 및 반복성을 나타낸다. 그러나, 강한 발열 반응들이 관찰되었으며, 반응제의 조기 분해(breakdown), 가스 혼합 탱크의 손상, 연소(combustion) 및 기판 오염이 유발될 소지가 있다. 다른 선택적 증착 화학들도 과도한 반응성 하에 놓일 수 있다. 따라서, 반응 장치들 및 선택적 증착 공정들은 선택적 증착을 위한 효능을 유지하면서 이러한 역효과들을 피할 것이 요구된다.
층들을 선택적으로 형성하기 위한 공정들 및 장비는 전구체들 및 식각제 소스 화학물들 사이의 격렬한 반응의 위험을 최소화한다는 것이 현재 발견되었다. 설명된 공정들 및 장비는 반응성 종들을 반응 챔버의 상류의 공통의 유로들을 따라서가 아니라 분리하여 반응 챔버로 공급한다. 상기 공정들 및 장비는 원치않는 열 발생 및 조기 증착을 방지하고, 고가의 가스 패널 유닛들에 대한 손상의 위험을 감소시킨다. 상기 챔버로의 별도의 유로들은, 동시적인 전구체/식각제 공급 또는 전구체 및 식각제들의 순차적 공급에 대하여 조기 반응들 및 해로운 반응들을 피할 수 있게 한다.
일 실시예는 반응 공간 내에서 기판 상에 반도체 층을 선택적으로 형성하는 방법을 제공하며, 상기 기판은 제1 표면 및 제2 표면을 포함한다. 상기 방법은 반도체 증착을 위한 전구체 및 증기 식각제를 별도의 유로(flow path)들을 통해 상기 반응 공간 내로 분리하여 인가하는 단계를 포함한다. 상기 기판은 상기 전구체 및 상기 증기 식각제에 노출된다. 상기 방법은 상기 제2 표면보다 큰 평균 속도로 상기 제1 표면 상에 상기 반도체 층을 선택적으로 형성하는 단계를 더 포함한다.
다른 실시예는 기판 상에 반도체 막을 선택적으로 형성하는 장치를 제공한다. 상기 장치는 반응 공간을 포함하는 화학 기상 증착(CVD) 반응기를 포함한다. 기판 지지부는 상기 반응 공간 내에 배치되고, 상기 기판 지지부는 실리콘 웨이퍼를 수용하기 위한 크기와 형상을 갖는다. 하나 이상의 주입부들의 제1 주입부 세트는 상기 반응 공간과 유체 연결되고, 하나 이상의 주입부들의 제2 주입부 세트는 상기 반응 공간과 유체 연결된다. 제1 가스 소스는 상기 제1 주입부 세트에 반도체 증착을 위한 전구체를 공급하기 위해 구성된다. 제2 가스 소스는 상기 제2 주입부 세트에 식각제를 공급하기 위해 구성된다. 상기 주입부 세트들 및 소스들은, 상기 반응 공간 내의 혼합 공간으로의, 상기 전구체 및 상기 식각제에 대한 별도의 유로들을 규정한다.
다른 실시예는 반응 공간을 포함하는 화학 기상 증착(CVD) 반응기를 포함하는 장치를 제공한다. 기판 지지부는 상기 반응 공간 내에 위치한다. 하나 이상의 주입부들의 제1 주입부 세트는 상기 반응 공간과 유체 연결되고, 상기 제1 주입부 세트는 반도체 증착을 위한 전구체를 복수의 주입부들을 통해 상기 반응 공간의 폭을 가로질러 퍼지게 하기 위해 구성된 매니폴드를 포함한다. 하나 이상의 주입부들의 제2 주입부 세트는 상기 반응 공간과 유체 연결된다. 제1 가스 소스는 상기 제1 주입부 세트에 전구체를 공급하기 위해 구성되고, 제2 가스 소스는 상기 제2 주입부 세트에 식각제를 공급하기 위해 구성된다. 상기 주입부 세트들 및 소스들은, 상기 반응 공간 내의 혼합 공간으로의, 상기 전구체 및 상기 식각제에 대한 별도의 유로들을 규정한다. 상기 실시예들 및 다른 실시예들이 하기에 더욱 상세히 기술된다.
본 발명의 이들 및 다른 양상들은 아래의 설명 및 첨부된 도면들(축척되지 않음)로부터 명백해질 것이며, 이는 설명하기 위한 의도이며 본 발명을 한정하지 않으며, 다음을 포함한다:
도 1은 기판 상의 화학 기상 증착법(CVD)에 대한 공지된 장치를 도시한다.
도 2는 본 발명의 일 실시예에 따른 CVD 시스템의 개략도이다.
도 3은 본 발명의 일 실시예에 따른 CVD 시스템의 측면의 단면도이다.
도 4는 도 3에 도시된 CVD 시스템의 상세도이다.
도 5는 본 발명의 일 실시예에 따른 CVD 시스템의 전면의 단면도이다.
많은 선택적 증착 공정들에서, 인접한 유전체들 상에 증착되는 것은 최소화되고 바람직하게는 방지되면서, Si-포함 층들은 단결정 반도체 물질들 상에 선택적으로 형성된다. 유전 물질들의 예들은 실리콘 이산화물(silicon dioxide)(탄소-도핑되거나 또는 불소-도핑된 것과 같은 낮은 유전 상수 형태들을 포함하는), 실리콘 질화물, 금속 산화물 및 금속 실리케이트(metal silicate)를 포함한다. 보다 일반적으로, 패터닝되거나 혼합된(mixed) 기판들은 두개 이상의 다른 유형들의 표면들을 갖는다. 혼합된 기판의 상기 표면들이 서로 상이해질 수 있는 다양한 방법들이 있다. 예를 들어, 상기 표면들은 다른 물질 조성들, 다른 결정 모폴로지들 및/또는 다른 전기적 특성들을 가질 수 있다.
상기 물질들이 동일한 조성으로 형성된다고 하여도, 만약 모폴로지들, 즉, 표면들의 결정성(crystallinity)이 다르다면 표면들은 상이할 수 있다. 본 명세서에 기술된 공정들은 다양한 기판들 상에 Si-포함 막들을 증착하는데 유용하지만, 특히 혼합된 표면 모폴로지들을 갖는 혼합된 기판들에 대해 유용하다. 혼합된 표면 모폴로지를 갖는 혼합된 기판은, 제1 표면 결정 구조를 갖는 제1 표면 및 다른 표면 결정 구조의 제2 표면을 포함한다. 비정질, 다결정 및 단결정은 다른 모폴로지들의 예들이다.
에피텍셜 증착은, 증착된 층이 기판의 격자 상수(lattice constant)를 채택하거나 따르는 방식으로 결정질 기판 상으로 결정실 실리콘 물질이 증착되는 것을 말한다. 에피텍셜 증착은 호모에피텍셜(homoepitaxial) 또는 헤테로에피텍셜(heteroepitaxial)일 수 있다. 호모에피텍셜 증착은, 단결정 실리콘 기판 상의 실리콘 층의 에피텍셜 증착과 같이, 증착된 층이 기판과 동일한 물질로 형성될 때 일어난다. 헤테로에피텍셜 증착은, 단결정 실리콘 기판 상에 게르마늄 또는 실리콘 게르마늄을 에피텍셜 증착하는 경우와 같이, 증착된 층의 조성이 기판의 조성과 다를 때 일어난다.
에피텍셜 막들은 막들이 성장된 기판과 동일한 결정 구조 및 방향에 의해 특성지어진다. 통상적으로, 상기 기판은 단결정이어서, 상기 에피텍셜 막도 단결정이다. 이들 물질들 내의 원자들은 원자 스케일에서 상대적으로 긴 거리들 상에서 유지되는 일관된 격자-유사 구조로 배열된다. 반대로, 비정질 모폴로지는 원자들이 명확하게 반복되는 배열을 갖지 못하기 때문에, 낮은 규칙도(degree of order)를 갖는 비-결정질(non-crystalline) 구조이다. 다른 모폴로지들은 미세결정질(microcrystalline) 및 비정질과 결정질 물질의 혼합체들을 포함한다. 본 명세서에서 사용되는 바와 같이, “단결정” 구조는 에피텍셜 증착에 의한 것을 뜻하며 허용할 수 있는 수의 결함들을 그 속에 가질 수 있는 주로 넓은 결정 구조를 설명하기 위해 사용되며, 트랜지스터 제조에 통상적으로 사용된다. 당업자는 비정질로부터 다결정, 단결정으로의 연속을 따라 층의 결정성이 일반적으로 떨어진다는 것을 이해할 것이다; 당업자는 낮은 밀도의 결함들에도 불구하고 결정 구조가 단결정 또는 에피텍셜로 고려될 수 있는 경우를 쉽게 결정할 수 있다. 당업자는 두 가지 유형들의 표면들을 갖는 혼합되거나 패터닝된 기판들 상에 Si-포함 막들을 증착하기 위해 본 명세서에 설명된 방법들이 셋 이상의 다른 유형들의 표면들을 갖는 혼합된 기판들에도 적용될 수 있음을 이해할 것이다.
도 1은 기판(80) 상의 화학 기상 증착(CVD)에 대한 통상적인 시스템을 도시한다. “기판”으로 본 명세서에서 사용되는 용어는, 증착이 요구되는 작업물(workpiece) 또는 반응제 가스들에 노출되는 표면 중 어느 하나를 지칭한다. 예를 들어, 상기 기판(80)은 단결정 실리콘 웨이퍼(wafer)일 수 있으며, 또는 반도체-온-절연체(semiconductor-on-insulator, SOI) 기판일 수 있으며, 또는 상기 웨이퍼들 상에 미리 형성된 에피텍셜 Si, SiGe 또는 III-V족 물질일 수 있다. 웨이퍼들은 200 mm, 300 mm 및 450 mm의 지름들을 갖는, 실질적으로 편평한(flat) 기판들을 포함한다. 기판들은 웨이퍼들에 한정되지 않으며, 유리, 플라스틱, 또는 반도체 공정에서 사용되는 임의의 다른 기판도 포함한다. 제1 반응제 소스(10) 및 제2 반응제 소스(20)로부터의 증기들을 포함하는 가스들은 가스 패널(panel)(100)로 공급된다. 불활성 가스 소스(40)로부터의 불활성 가스들도 화학 기상 증착 공정에서 통상적으로 보조를 위해 첨가된다.
상기 반응 챔버(300)의 상류(upstream)에서 상기 반응성 가스들(10, 20)을 혼합하는 것은 통상적인데, 이는 균일한 반응제들의 농도를 갖는 잘 혼합된, 균일한 혼합물 또는 공급 가스(30)는 일단 상기 공급 가스(30)가 상기 반응 챔버(300)에 도달하면 상기 기판(80) 상에 균일한 증착을 촉진할 수 있기 때문이다. 그러므로, 단일-경로, 층상(laminar)의 수평 유동(flow) 단일 웨이퍼 반응기에 대해서, 상기 반응 챔버(300) 내의 상기 기판(80) 상에 균일한 증착을 촉진하기 위해서 상기 반응제 소스들(10, 20)로부터의 상기 반응성 가스들을 상기 가스 패널(100)에서 혼합하는 것이 통상적이다. 일단 상기 소스들(10, 20, 40)로부터 상기 가스들이 상기 가스 패널(100)에서 혼합되면, 상기 공급 가스(30)는 주입(inlet) 분배 매니폴드(200)로 전달된다. 상기 매니폴드(200)는 상기 반응 챔버(300)의 폭을 가로질러 공급 가스(30)의 유동을 분배하는 역할을 한다. 상기 매니폴드(200)는 상기 반응 챔버(300) 내에서 상기 기판(80) 상에 반도체 증착의 균일성을 증진시키기 위한 방식으로 유동을 분배하기 위해 구성될 수 있다. 통상적으로, 상기 가스 패널(100)로부터 주입 분배 매니폴드(200)로의 공급 가스(30)의 전달은 상기 가스 패널(100)보다 실질적으로 작은 단면 구역들을 갖는 파이프들 또는 튜브들을 통해서 이루어진다. 상기 파이프들 또는 튜브들은 상기 공급 가스(30)의 유동을 제어하기 위한 밸브들을 포함할 수 있다. 또한, 상기 매니폴드(200) 내에서, 상기 공급 가스(30)는 상기 반응 챔버(300) 내로의 상기 공급 가스(30)의 유동을 제한하는 다른 배관을 통과할 수 있다. 따라서, 상기 반응제 소스들(10, 20)로부터의 상기 가스들이 혼합된 후, 상기 공급 가스(30)는 통상적으로 상기 가스 패널(100)의 내부 및 하류(downstream)에서 하나 또는 여러 개의 병목(bottleneck)들 또는 유동 제한부(restriction)들을 통과할 것이다.
상기 제1 반응제 소스(10)로부터의 반도체 증착을 위한 전구체 및 상기 제2 반응제 소스(20)로부터의 식각제를 사용하는 선택적 형성 공정들은 뛰어난 선택성 및 증착 속도를 나타낸다. 일반적으로, 선택적 증착 공정들은 반도체 구역들 상의 순 증착 속도(net deposition rate)들이 절연체들 및 윈도우(window)들 상의 증착 속도들에 비해 5배, 바람직하게는 10배 커지는 결과를 낳는다. 완전한 선택적 공정들은 절연체들 및 금속들 상에 순 증착이 일어나지 않는다. 순 증착 속도들은, 전구체들 및 식각제들이 동시적으로 공급되든 순차적으로 공급되든, 전체 공정을 통하여 계산된다. 그러나, 상기 공정들의 일부는 반도체 증착을 위한 상기 전구체 및 식각제 가스를 혼합할 때, 강한 발연 반응들을 나타내었다. 반도체들의 CVD를 위한 전구체들은 실리콘 전구체들, 게르마늄 전구체들 및 탄소 전구체들의 그룹으로부터 선택될 수 있다. 실리콘 전구체들은 트리실란(trisilane)(Si3H8), 디클로로실란(dichlorosilane, DCS)(H2SiCl2), 다이실란(disilane)(Si2H6), 일부 염소화된 다이실란, 메틸 실란(methyl silane), 실란(silane)(SiH4) 또는 2,2-디클로로트리실란(2,2-dichlorotrisilane)을 포함할 수 있다. 게르마늄 전구체들은 게르만(germane), 디게르만(digermane) 및 트리게르만(trigermane)을 포함한다. 탄소 전구체들은 모노실릴메탄(monosilylmethane), 다이실릴메탄(disilylmethane), 트리실릴메탄(trisilylmethane) 및 테트라실릴메탄(tetrasilylmethane)와 같은 실릴알칸(silylalkane)들 및/또는 모노메틸실란(monomethyl silane,MMS) 및 다이메틸실란(dimethyl silane)과 같은 알킬실란(alkylsilane)들을 포함한다. 일부 실시예들에서, 탄소 전구체는 H3Si-CH2-SiH2-CH3(1,3-다이실라부탄)(1,3-disilabutane) 또는 CCl2(SiH3)2(2,2-디클로로다이실릴메탄)(2,2-dichlorodisylilmethane)을 포함한다. 식각제 소스들은 염소 가스(Cl2)를 포함한다.
일 예로 트리실란 및 염소 가스를 선택하면, 충분히 높은 부분 압력들에서, Cl2가 Si과 반응하여 발열 반응으로 실리콘 테트라클로라이드(SiCl4)를 생산할 것으로 생각된다. Cl2와 Si3H8의 고도의 발열 자동 점화성(hypergolic) 반응은 상기 실리콘 전구체의 연소를 가져올 수 있다. 상기 반응은 반응 챔버(300)의 상류에서 일어날 수 있으며, 따라서 조기 실리콘 증착을 가져올 수 있으며, 이는 또한 이후의 스포올링(spalling) 또는 에어로졸(aerosol) 입자 형성 및 오염을 가져올 수 있다. 또한, 강력한 발열 반응들은 상호 작용하는 지점에서 고가의 가스 패널 유닛들(100)과 같은 장비를 손상시킬 수 있다. 유사한 이슈들이 반도체 전구체들 및 식각제 가스들의 다른 결합들에서도 발생할 수 있다. 이론에 의해 한정하지 않고, 한정된 부피 내에서의 상기 반응제 가스들 사이의 상호 작용 및/또는 반응 챔버(300) 내로의 진입 전의 유동 제한부들을 통과하는 공통의 또는 공유된 유로(flow path)들을 따르는 상기 반응제 가스들의 통과는 이들 문제들에 기여할 수 있다. 매우 한정된 유로에서 상기 제한부들에 의해 유발되는, 높은 압력들 하에서의 고도의 반응성 전구체들 및 식각제들 사이의 상호 작용은, 관찰되었던 고도로 강력하고 심지어 폭발적인 반응들을 유발할 수 있다. 더 넓고 더 큰 공간에 비하여 한정된 경로들에서 손상이 더 커지며, 열 분산을 억제함으로써 반응성을 격화시킬 수 있다. 상기 반응제들이 동시적으로 공급되든 또는 순차적으로 공급되든, 이러한 상호 작용은 타이트하게 한정된 내에서 발생할 수 있음을 주목한다. 순차적 공급에서, 첫 번째 펄스로부터의 잔류 반응제들은, 다음 펄스가 공급될 때 공유된 유로들 내에 불가피하게 남게 되며, 이는 그 후에 상기 챔버 상류의 공유된 유로에서 반응할 수 있다.
본 명세서에 교시된 실시예들은 반응제 종들을 상기 반응 챔버(300) 내에 분리하여 주입함으로써, 선택적 형성 공정들에서 반도체 전구체 및 식각제 종들의 고도의 반응성 결합들의 원치 않는 효과들을 방지한다. 특히 전구체 및 식각제의 휘발성 결합들은 적어도 DCS + Cl2의 반응만큼 발열하는 반응들을 생성한다. 여러 가지 고도의 반응성 결합들은 한정되지 않고, 펜타실란(pentasilane) + Cl2; 테트라실란(tetrasilane) + Cl2; 트리실란(trisilane) + Cl2; 다이실란(disilane) + Cl2; 및 부분적으로 염소화된 다이실란(disilane) + Cl2를 포함한다. 도 6은 실리콘 전구체들 및 식각제들의 예시적인 여러 가지 결합들의 발열성을 비교한다. 아래의 표는, Cl2와 결합할 때 특정 실리콘 전구체들에 대한 발열성을 대략적인 엔탈피 값들에 의해 비교한다.
실리콘 전구체 0ºC에서의 엔탈피
(kcal/mol)
550ºC에서의 엔탈피
(kcal/mol)
트리실란 -450 -550
실란 -500 -500
다이실란 -460 -460
모노클로로다이실란 -400 -380
디클로로다이실란 -340 -320
트리클로로다이실란 -270 -250
디클로로실란 -270 -250
테트라클로로다이실란 -220 -200
펜타클로로다이실란 -150 -130
본 명세서의 기술의 대부분은 트리실란 + Cl2의 결합의 예에 중점을 두지만, 당업자는 설명된 장비는, 반응 온도에서 특히 DCS + Cl2보다 더 발열성인(예컨대, 0°C에서 -270 kcal/mol 또는 550°C에서 -250 kcal/mol보다 더 발열성인) 다른 고도의 반응성 결합들을 사용하는 공정 방법들에도 도움이 될 수 있을 것이라는 것을 본 명세서의 개시로부터 쉽게 이해할 것이다.
이론에 의해 본 발명을 한정하지 않고, 본 발명의 실시예들은 전구체들 및 식각제들에 별도의 유로들을 제공하여 상기 가스 패널(100) 또는 상기 가스 패널(100)과 상기 반응 챔버(300) 사이에서의 제한된 부피 내에서 고압하의 그들의 상호 작용을 방지함으로써, 조기 반응제 분해, 연소, 장비의 손상 및 기판 오염의 위험을 최소화하는 것으로 생각된다. 또한, 상기 가스들의 반응성은 증가된 양의 캐리어 가스를 공급함으로써 더 작아질 수 있고, 이에 의해 상기 반응제들의 부분 압력들이 감소되고, 상기 가스들의 체류 시간(residence time)이 짧아지며, 상기 가스들의 속도가 증가되고 및/또는 상기 가스들의 열용량이 증가한다. 상기 가스들은 상류 반응들을 유발하거나 격화시킬 수 있는 높은 압력 영역들에서 상호 작용을 방지하기 때문에, 중간(intermediate) 반응성 종들(예컨대, Si3H8 + Cl2 반응제들에 대한 SiHCl, SiH2 및 Si2H4)은 조기에 반응이 완결되기보다 상기 기판(80)에 도달할 수 있다. 또한, 고가의 장비를 손상시킬 위험이 줄어든다.
본 발명의 일 실시예에 따른 선택적 증착 시스템의 개략도가 도 2에 도시된다. 일 실시예에서, CVD 반응 챔버(300)는 단일 웨이퍼 반응기일 수 있으며, 구체적으로 수평의 가스 유동 CVD 챔버일 수 있다. 일부 실시예들에서, 상기 반응 챔버(300)는 냉벽(cold wall), 방사 가열되는(radiantly heated), 단일-웨이퍼, 단일 통로, 층상의 수평 가스 유동 반응기이다. 이러한 유형의 적합한 반응기들은, 상업적으로 이용 가능하며, 예컨대 아리조나주 피닉스(Phoenix)의 ASM 아메리카 회사의 상업적으로 이용가능한 단일-웨이퍼 반응기들의 시리즈인 Epsilon™이 포함된다. 도시된 실시예의 상기 반응 챔버(300)(도 3 참조)는 회전하는 기판을 포함하며, 낮은 공정 가스 체류 시간(low process gas residence time)들을 허용한다. CVD는 인시츄(in situ) 또는 외부 플라즈마 생성기의 하류 중 어느 하나에서 상기 챔버에 플라즈마 생성물을 인가함으로써 수행될 수 있다. 대신에, 열적(thermal) CVD가 사용될 수 있다.
도 2에 도시된 바와 같이, 제1 반응제 소스(10) 및 제2 반응제 소스(20)는 가스 패널(100)과 유체(fluid) 연결된다. 상기 제1 및 제2 반응제 소스들(10, 20)은 기판 상에 반도체 막들의 선택적 형성을 증진시키기 위해 선택된다. 상술한 바와 같이, 선택적 증착은, 실리콘과 같은 막이 기판의 표면의 제1 부분 상에서, 동일한 표면의 제2 부분 상에서보다 더 큰 평균 속도(mean rate)로 증착되는 것을 의미한다. 일부의 선택적 증착 실시예들에서, 상기 제2 부분 상의 반도체 증착 속도는 실리콘의 식각되어 나가는 속도와 대체로 동일할 수 있으며, 상기 제2 부분 상의 0의 유효 증착 속도를 가져온다. 주기적인 증착 및 식각은 동일한 효과를 가질 수 있다.
일 실시예에서, 상기 제1 반응제 소스(10)는 펜타실란(pentasilane), 테트라실란(tetrasilane), 트리실란(trisilane), DCS, 다이실란(disilane), 부분적으로 염소화된 다이실란(disilane), 메틸 실란(methyl silane) 및 실란(silane)으로 구성된 집단으로부터 선택된 전구체와 같은, 실리콘 전구체를 함유한다. 다른 실시예들에서, 상기 제1 반응제 소스(10)는 탄소 전구체를 함유한다. 다른 실시예들에서, 상기 제1 반응제 소스(10)는 게르마늄 전구체를 함유한다. 상기 제1 반응제 소스(10)는 SiGe, Si:C 또는 SiGe:C의 증착을 위한 것과 같은 다수의 반응제 용기(vessel)들을 의미할 수 있다. 상기 제2 반응제 소스(20)는 증착 공정에 선택성을 제공하기 위하여 할로겐-포함 식각제를 함유할 수 있다. 실시예들은 상기 제2 반응제 소스(20) 내에, 이원자 염소 가스(Cl2)와 같은 염소-포함 종들을 사용할 수 있다. 이원자 염소를 식각제로 사용하는 것은 400°C에서 600°C 범위의 낮은 온도들에서도 우수한 식각 능력을 제공할 수 있으나, 반도체 증착을 위한 전구체들과 결합하면 고도로 반응성을 갖게 될 수 있다. 상술한 바와 같이, 본 명세서에 교시된 공정들은 상기 제1 반응제 소스(10) 및 상기 제2 반응제 소스(20)의 결합이 발열 반응들을 생성하는 고도의 반응성 결합인 경우에 특히 이점들을 제공한다.
불활성 가스 소스(40)도, 상기 제1 반응제 소스(10) 또는 상기 제2 반응제 소스(20) 중 어느 하나를 위한 퍼지(purge) 가스 및/또는 캐리어(carrier) 가스로서 가스 패널(100)과 연결될 수 있다. 다른 역할들 중에서, 상기 불활성 가스 소스(40)는 상기 챔버(300)로 향하는 주입부(inlet)들에서 상기 반응제들에 배압(backpressure)을 제공할 수 있어서, 전구체들 및 식각제들이 순차적으로 공급되든 또는 동시에 공급되든, 상기 제1 반응제 소스(10)로부터의 가스가 제2 주입 매니폴드(220)로 확산되거나, 그 반대 경우가 되는 것을 방지한다. 또한, 불활성 가스 소스(40)는 자신의 열용량에 기인하여 열을 제거할 수 있어서, 상기 반응제들의 온도를 낮출 수 있다. 또한, 상기 불활성 가스 소스(40)는 상기 제1 및 제2 반응제 소스들(10, 20)으로부터 가스를 희석할(즉, 상기 반응제들의 농도를 낮출) 수 있어서, 반응을 느리게 하고 안정성을 보조하며, 필요한 경우 상기 전구체들의 분해를 늦출 수 있다. 이는, 결과적으로, 상기 반응 챔버(300) 내의 낮은 온도뿐만 아니라 바람직한 전구체 이용 및 막의 높은 성장 속도들을 가져온다. 발열 반응들을 최소화하기 위해서, 일부 실시예들에서 불활성 가스 소스(40)는 헬륨(He), 아르곤(Ar), 질소(N2), 제논(Xe), 크립톤(Kr), 네온(Ne), 염화수소(HCl) 또는 증착 조건들 하에서 비반응성인 다른 가스들을 포함한다. 상기 캐리어 가스는 또한 “INHIBITORS FOR SELECTIVE DEPOSITION OF SILICON CONTAINING FILMS”이란 발명의 명칭으로 공동-소유되고 공동-계류 중인 미국 특허 출원 제11/925,518호에 개시된 것과 같은 억제제(inhibitor)들을 포함하며, 상기 출원은 실리콘 전구체들 및 염소-포함 식각제들 사이의 반응들을 감속시키기 위한 적절한 억제제 에이전트(agent)들의 기술에 대해서 본 명세서에 참조로서 포함된다. 예들은 프로필렌(propylene), 부타디엔(butadiene), 벤젠(benzene), 나프탈렌(naphthalene), 페난트렌(phenanthrene), 안트라센(anthracene), 씨클로헵타트리엔양이온(cycloheptatrienecation), 씨클로헵타트리엔(cycloheptatrien), 퓨란(furan), 피리딘(pyridine), 피롤(pyrrole) 및 티오펜(thiophene)을 포함한다. 상기 불활성 가스 소스(40)가 상기 제1 및 제2 반응제 소스들(10, 20)의 온도를 낮추고 희석하여 반응을 억제하는 능력 때문에, 상기 불활성 가스 소스(40)로부터의 가스의 상대적으로 높은 유속들이 상기 제1 및 제2 반응제 소스들(10, 20)의 상대적으로 더 반응성인 결합들에 공급될 수 있다. 예를 들어, 매우 고도의 반응성 결합인 트리실란(trisilane) 및 염소 가스를 사용하는 실시예들에서, 상기 불활성 가스 소스(40)로부터의 가스는 약 10에서 40 slm 사이의 유속을 가질 수 있다.
상기 가스 패널(100)은 밸브들, 질량 유량 제어기(mass flow controller, MFC)들, 및 조작자(operator)가 상기 반응 챔버(300) 내에서의 증착을 최적화하기 위한 다양한 파라미터들을 밸런싱 할 수 있도록 하는 다른 제어 요소들을 포함할 수 있다. 상기 파라미터들은, 상기 제1 반응제 소스(10)의 실리콘 증착을 위한 전구체 및 상기 제2 반응제 소스(20)의 염소-포함 종들 사이의 몰비뿐 아니라, 유속들, 온도들, 및 상기 불활성 가스 소스(40), 제1 반응제 소스(10) 및 제2 반응제 소스(20)로부터의 증기들의 총 압력들을 포함하지만, 이에 한정되지 않는다. 트리실란(trisilane)이 상기 제1 반응제 소스(10)로 상기 제2 반응제 소스(20)와 동시에 함께 사용되는 실시예들에서, 상기 트리실란 및 염소-포함 종들의 몰 비는 약 1:3과 1:12의 사이, 구체적으로는 약 1:5와 1:10의 사이일 수 있다. 반응성을 완화하기 위해서, 트리실란에 대한 유속들은 약 100mg/min보다 작거나, 구체적으로는 약 50mg/min보다 작을 수 있으며, 더욱 구체적으로는 약 25mg/min보다 작을 수 있다.
중요하게, 상기 불활성 가스 소스(40)가 상기 제1 반응제 소스(10) 또는 상기 제2 반응제 소스(20) 중 어느 하나, 또는 모두와 결합하는 동안, 실시예들은 상기 가스 패널(100) 내의 상기 제1 반응제 소스(10) 및 상기 제2 반응제 소스(20)로부터의 증기 상태의 반응제들의 상호 작용을 방지한다. 대신에, 상기 제1 반응제 소스(10)로부터의 가스 및 상기 제2 반응제 소스(20)로부터의 가스의 유로들은 상기 반응 챔버(300) 내의 공간 또는 혼합 지점에서만 처음으로 교차한다. 아리조나주 피닉스(Phoenix)의 ASM 아메리카 회사의 E2000™, E2500™, E3000™, E3200™ 및 E3220™과 같은 적합한 가스 패널들이 상업적으로 이용가능하다.
도 2를 계속 참조하면, 상기 반응제 소스들(10, 20)로부터의 가스들 또는 증기들은 주입 플랜지(flange)(205)를 거쳐서 상기 반응 챔버와 연결된다. 특히, 상기 제2 반응제 소스(20)로부터의 증기가 상기 주입 플랜지(205)의 제2 주입 매니폴드(220)로 분리되어 흐르는 동안, 상기 제1 반응제 소스(10)로부터의 가스 패널(100)의 증기는, 상기 주입 플랜지(205)의 제1 주입 매니폴드(210)로 흐른다. 상기 주입 매니폴들들(210, 220)은 상기 반응 챔버(300)의 부분으로 정의되는 반응 공간(340)의 폭을 가로질러 가스를 분배하도록 구성된다. 상기 반응 챔버(300) 말단의 하류 상의 배출(exhaust) 플랜지(360)는 배출 출구(outlet)(361)을 포함하며, 상기 배출 출구(361)은 상기 반응 공간(340)으로부터 잔여의(residual) 반응제 증기들 및 반응 부산물을 배출하기 위해 구성된다. 상기 공정은 상기 배출 출구(361)와 연결되는 펌프(362)를 사용하여 실시될 수 있다.
도 3은 상기 반응 공간(340)을 도시하는 개략적인 단면도이다. 본 명세서에서 기술되는 것과 같이 상기 반응 공간(340)은, 웨이퍼들이 로딩 및 언로딩되는 주입 플랜지(205)의 상류 웨이퍼 삽입 개구부에 덧붙여 상기 반응 챔버(300)의 석영(quartz) 벽들에 의해 정의되는 공간을 포함한다. 전구체 및 식각제 유로들은, 상기 제1 및 제2 주입 매니폴드들(210, 220)을 통해서 계속 분리되고, 상기 웨이퍼 삽입 개구부(341) 내의 혼합 공간(342)에서 처음으로 교차한다. 상기 혼합 공간(342)으로부터, 전구체 및 식각제는 기판 지지부(support)(350) 상의 공유의 또는 공통의 유로를 흐르며, 상기 기판 지지부(350)는 상기 기판(80)을 지지하기 위한 크기와 형상을 갖는다. 잔여의 반응제들 및 부산물들은 층상이고 수평인 공통의 유로를 따라서 상기 배출 플랜지(360)로 이어진다.
도 3 내지 도 5에 도시된 바와 같이, 제1 또는 상부 주입 매니폴드(210)는 상기 반응 챔버(300) 내의 반응 공간(340)으로의 상대적인 유동을 제어하는 여러 개의 주입기(injector)들(212)을 포함한다.
구체적으로, 상기 제1 반응제 소스(10)로부터의 가스는 각각의 상기 주입기들(212)과 연결된 채널(211)(도 5 참조)로 들어간다. 각각의 상기 주입기들(212)은 결합된 유입(entrance) 튜브(213)를 통하여 상기 채널(211)로부터의 유로를 제어한다. 각 유입 튜브(213)는 수평 채널(214)로 이어지는데, 상기 수평 채널(214)은 반응 공간(340)의 폭의 부분을 가로지른다. 상기 채널(214)로부터, 가스들은 슬릿(slit)들(216)을 통하여 상기 반응 공간(340)으로 흐른다. 상기 슬릿(216)은 상기 채널(214)보다 작은 단면적을 가지고, 가스 유로를 가로지르며, 따라서 병목부 또는 제1 반응제 소스(10)로부터의 가스들에 대한 유동 압축부이며, 상기 슬릿(216)이 가로지르는 반응 공간(340)의 폭의 상기 부분을 가로질러 가스들을 퍼지는 것을 돕는다. 도 3 내지 도 5는 상기 제1 주입 매니폴드(210)의 일 실시예를 도시하지만, 상기 반응 챔버(300)의 폭을 가로질러 가스를 분배하기 위해 다른 구성들도 선택적으로 사용될 수 있다. 예를 들어, 상기 제1 반응제 소스(10)로부터의 가스는, 도시된 바와 같이 다중의 슬릿들(216)로부터가 아닌, 단일 채널 또는 상기 반응 공간(340)의 폭의 모든 또는 상당한 부분을 가로지르는 단일 슬릿으로부터 직접 반응 공간(340)으로 흐를 수 있다. 따라서, 제1 주입 매니폴드(210)는 도시된 바와 같이 상기 반응 공간(340)으로의 다수의 주입부들 또는 단일 주입부를 규정할 수 있다.
상기 제2 또는 하부 주입 매니폴드(220)에 대하여, 공급 라인(222)에 의해 공급되는 상기 제2 반응제 소스(20)로부터의 가스는 하부 수평 채널(224)로 흐르는데, 상기 하부 수평 채널(224)은 더 균등하게 가스를 분배하기 위해서 상기 반응 공간(340)의 폭의 모두 또는 일부를 가로지른다. 상기 하부 채널(224)로부터, 가스들이 복수의 하부 유입 튜브들(226)을 통해서 상기 반응 공간(340)으로 흐른다. 도 4 및 도 5에 도시된 바와 같이, 상기 복수의 하부 유입 튜브들(226)은 상기 반응 공간(340)의 폭을 가로질러 분배된 분리된 통로들을 포함한다. 도시된 실시예에서, 작동의 동시적인 공급 모드들의 작동을 위해서 가스들의 균일한 혼합물이 상기 반응 공간(340) 내로 들어가는 것을 용이하게 하기 위해서, 상기 하부 유입 튜브들(226)은 상기 제1 주입 매니폴드(210)의 상기 슬릿들(216) 맞은편 대향하는 벽 상 및 상기 슬릿들(216)의 사이에 위치한다. 순차적인 공급 모드들에 대해서라도, 각각 상기 슬릿들(216) 및 하부 유입 튜브들에 의해 나타내어진 상부 및 하부 주입부들의 교대의 도시된 배열은, 반응제가 다른 주입부 세트들로부터 흐를 때, 상기 주입부들 세트들 중 하나로부터의 불활성 가스를 혼합함으로써 공급되는 반응제들이 퍼지는 것을 도울 수 있다. 도면들에 도시되지 않은 선택적인 실시예에서, 상기 제2 반응제 소스로부터의 증기는 상기 반응 공간(340)의 폭의 모든 또는 실질적인 부분을 가로지르는 너비를 갖는 단일 슬릿 또는 복수의 슬릿들을 통해서 상기 반응 공간(340)으로 들어갈 수 있어서, 상기 제2 주입 매니폴드(220)의 주입부(들)는 상기 제1 주입 매니폴드(210)의 주입부들 또는 슬릿들(216)과 유사할 수 있다. 상기 공급 라인(222)으로부터의 가스는, 동작의 동시 또는 순차의 공급 모드들에서 상기 제1 반응제 소스로부터의 가스가 상기 주입부들(226) 내로 확산되는 것을 방지하기 위한 충분한 배압을 제공한다.
이와 같이, 상기 제1 반응제 소스(10)로부터의 가스는 상기 제2 반응제 소스(20)로부터의 가스와 상기 반응 공간(340) 내의 혼합 공간(342)에서 상호 작용할 가능성이 있다. 따라서 상기 혼합 공간(342)은 상기 제1 반응제 소스(10) 및 상기 제2 반응제 소스(20)로부터의 반응제들의 유로들 사이의 제1 교차부를 나타낸다.
일부의 동작 모드들에서, 상기 제1 반응제 소스(10)로부터의 가스 및 상기 제2 반응제 소스(20)로부터의 가스는 상기 반응 공간(34) 내로 순차적으로 인가되어, 상기 반응제 소스들(10, 20)로부터의 상기 반응제들은 공정 중에 혼합되지 않을 것으로 생각된다. 도시된 별도의 유로들은 상기 순차적인 동작 모드들에 대해서도 여전히 반응제들 사이의 폭발성 상호 작용의 위험을 감소시킨다. 도시된 주입 플랜지(205)는 반응제들의 유로들이 상기 혼합 공간(342)에서 교차되는 때까지, 상기 유로들을 계속 분리되도록 하기 때문에, 상기 가스 패널(100)(도 2)의 범위들 또는 상기 주입 매니폴드들(210, 220) 내에서 한번의 펄스로부터의 잔여 반응제가 다른 반응제의 후속의 펄스와 상호 작용하게 될 위험이 없다. 공동-소유되고 공동-계류 중이며, 대리인 문서 번호 ASMEX.583A인 2007년 12월 13일에 공개된 미국 특허 출원 공고 2007/0287272의 개시는, 전구체들 및 식각제들을 동시적으로보다는 순차적으로 공급함으로써 얻어지는 반도체 막들의 선택적 형성의 예시적인 공정들을 기술하는 목적들로 본 명세서에 참조로서 포함된다. ‘463 특허 출원의 순차적이고 및 바람직하게는 주기적인 공정은, 패터닝 되거나 혼합된 기판의 절연체 및 단결정 실리콘 표면들 상에 전면(blanket) 증착을 제공하고, 에피텍셜이 아닌 물질의 선택적 식각이 뒤따른다. 상기 전면 증착은 식각제가 없이 수행되거나, 또는 모든 표면들 상에 실질적인 순 증착을 가져오기 위해 충분히 낮은 양들의 식각제를 사용하여 수행된다. 에피텍셜이 아닌 물질이, 절연체들 상의 금속들과 같은 다른 표면들 상에 남겨지는 동안, 에피텍셜 반도체 물질은 패터닝된 기판의 단결정 반도체 윈도우들 상에 남겨진다. 에피텍셜이 아닌(예컨대, 비정질 또는 다결정) 반도체 물질은 식각에 더 민감하며, 후속의 식각제 펄스는, 상기 증착으로부터 에피텍셜 물질의 적어도 일부가 남겨지면서, 상기 증착으로부터 에피텍셜이 아닌 물질 모두를 제거하도록 시간이 정해진다
다른 동작 모드들에서, 상기 가스들은 동시에 상기 반응 공간(340)으로 인가되며 선택적 증착 중에 실제로 혼합되고 상호 작용한다. 상기 전구체들 및 식각제들이 상기 반응 공간 내의, 낮은 압력들 하에서, 그리고 가스 패널(100)(도 2) 및 주입 매니폴드들(210, 220)보다 큰 부피에서 혼합되기 때문에, 격렬한 반응들로부터의 장비에 대한 손상의 위험이 적다. 바람직하게 조건들은 예를 들어, 절연체 표면들 상의 영의 순 증착 및 단결정 반도체 윈도우들 상의 얼마간의 순 에피텍셜 증착과 같은 100% 선택성을 유지하도록 선택된다.
다시 도 2를 참조하면, 상술한 동시적 및 순차적인 동작 모드들은 제어기(250)의 명령들 및 프로그래밍에 의해 구현될 수 있다. 상기 제어기(250)는, 일반적인 목적 또는 특별한 목적의 컴퓨터를 포함할 수 있으며, 다른 위치들에 있는 유동 제어 장치들뿐 아니라 밸브들 및 질량 유량 제어기들과 같은 상기 가스 패널(100) 상의 장치들과 연결된다. 예를 들어, 하나 이상의 상기 소스들(10, 20)은 제어기(250)와 연결된 제어 밸브들 및 히터들을 가질 수 있다. 상기 제어기(250)는 온도 제어 시스템과 같은, 공정 파라미터들에 영향을 주는 다른 장치들도 조정하며, 상기 온도 제어 시스템은 교대로 온도 센서들로부터 입력(input)을 받고 상기 챔버(300) 외부의 방사 히터들 및 진공 펌프(362)에 대해 전력을 조정한다. 따라서 상기 제어기(250)는 상술한 동시적 또는 순차적인 선택적 에피텍셜 형성 공정들과 같은 공정 방법들 구현하도록 프로그래밍된다.
도 3 내지 도 5에 도시된 실시예는, 상부 및 하부로부터 상기 반응 공간(340) 내로 가스를 주입하는 제1 및 제2 주입 매니폴드들(210, 220)을 제공한다. 따라서 상기 반응제 소스들(10, 20)으로부터의 반응제들은 대향하는 벽들 또는 대향하는 방향들에서 상기 반응 공간(340)으로 흐른다. 당업자는 본 명세서에 교시된 상기 장치 및 공정들의 이점들의 일부는 다른 기하학적 구성들로 얻을 수 있음을 이해할 것이다.
도 3에 도시된 바와 같이, 상기 기판(80)은 상기 반응 공간(340) 내에 위치되고, 상기 반응제 가스들은 상기 혼합 공간(342)으로부터 상기 기판(80)으로 어떠한 유동 제한부들에도 종속되지 않고 자유롭게 흐를 수 있다. 상기 혼합 공간(342)을 포함하는 상기 반응 공간(340) 부분의 폭은 최소한 상기 기판(80)만큼 넓을 수 있으며, 도시된 실시예에서 상기 혼합 공간(342)은 상기 웨이퍼 삽입 개구부(341) 내에 있으며, 상기 웨이퍼 삽입 개구부(341)는 로딩 및 언로딩을 위해 상기 기판(80)이 통과되기 위한 크기와 형상을 갖는다. 유동 제한부들의 결여(lack)는 상기 반응제 가스들이 상호 작용이 가능한 상기 혼합 지점에서 증가된 압력 하에 있지 않음을 의미한다. 따라서, 조기 반도체 증착 또는 다른 원치 않는, 예컨대 폭발성과 같은, 반응들은 방지될 수 있다. 압력 차이는 상기 혼합 공간(342) 및 상기 기판(80) 사이에서 실질적으로 영일 수 있다. 일부 실시예들에서, 그러나, 상기 압력 차이는 마이너스일 수 있으며, 이는 상기 혼합 공간(342) 및 상기 기판(80) 사이에서 압력이 감소하는 것을 의미한다. 일부 실시예들에서, 상기 반응 공간(340) 내의 온도는 약 750°C 이하이며, 구체적으로는 약 500°C와 약 600°C의 사이이다. 상기 반응 공간(340) 내의 총 압력은 1 Torr와 200 Torr의 사이일 수 있다.
예 1 : 트리실란 Cl 2 의 순차적 유동
본 발명의 실시예들에 따른 일 공정에서, 전면(비선택성) 증착은, 탄소 소스로 메틸 실란 및 도펀트(dopant)로 포스핀(phosphine)과 함께, 트리실란을 사용하여 수행되었다. 상기 공정은 상기 반응 공간 내로의 별도의 주입부들을 구비한 상술한 장비를 사용하여 수행되었다. 증착 중의 상기 반응 공간 내의 온도는 약 550°C이었으며, 압력은 약 100 Torr이었다. 다음으로, 퍼지(purge)는 5초 동안 수행되었으며 압력은 약 10 Torr로 감소되었다. 다음으로 식각 단계가 Cl2를 사용하여 수행되었다. 상기 공정은 조기 실리콘 증착의 문제를 방지할 수 있다는 것을 발견하였다. 상기 공정에서 가스들의 유속들은 속도 및 균일성을 밸런싱하기 위해 최적화될 수 있다. 상술한 바와 같이, 순차적인 전면 증착 공정들은 절연체 및 단결정 실리콘 표면들을 갖는 패터닝된 기판 상에 수행될 수 있다. 상기 전면 증착 중에, 에피텍셜이 아닌 물질은 절연체들과 같은 다른 표면들 상에 남는 동안, 에피텍셜 반도체 물질은 패터닝된 기판의 단결정 반도체 윈도우들 상에 남는다. 이후, 후속의 식각 단계는 에피텍셜이 아닌 물질을 제거할 수 있다. 비정질 물질의 식각 속도를 단결정의 식각 속도로 나눈 것으로 정의되는 식각 속도 선택성은 7보다 큰 것이 발견되었다.
예 2 : DCS Cl 2 의 동시적 유동
본 발명의 실시예들에 따른 다른 공정에서, 탄소 소스로 메틸 실란 및 도펀트로 포스핀(phosphine)과 함께, 실리콘 전구체 DCS가 식각제 Cl2와 동시에 인가되었다. 상기 공정은 상기 반응 공간 내로의 별도의 주입부들을 구비한 상술한 장비를 사용하여 수행되었다. 반응 공간 온도는 약 550°C와 약 600°C의 사이이었고, 상기 반응 공간 내의 압력은 대기압이었다. DCS의 유속은 약 500 sccm이었다. Cl2의 유속은 약 3 sccm과 약 10 sccm의 사이이었다. 상기 공정은 조기 실리콘 증착의 문제를 방지할 수 있다는 것을 발견하였다.
예 3 : 트리실란 Cl 2 의 동시적 유동
본 발명의 실시예들에 따른 다른 공정에서, 실리콘 전구체 트리실란이 식각제 Cl2와 동시에 인가되었다. 상기 공정은 반응 공간 내로의 별도의 주입부들을 구비한 상술한 장비를 사용하여 수행되었다. 반응 공간 온도는 약 525°C와 약 575°C의 사이이었고, 상기 반응 공간 내의 압력은 약 4 Torr와 약 32 Torr의 사이이었다. 약 200 sccm의 Cl2 및 약 100 sccm의 1% 포스핀이 동시에 인가되는 동안, 트리실란은 약 50 mg/min의 유속으로 약 10분간 인가되었다. 상기 공정은 조기 실리콘 증착의 문제를 방지할 수 있다는 것을 발견하였으며, 증착의 선택성이 수용 가능함을 발견하였다.
상기 실시예들은 반도체 증착을 위한 전구체들 및 선택성을 제공하는 식각제들을 분리하여 제공하기 위한 방법들 및 장치를 제공한다. 상기 두 반응제들을 위한 제1 교차부, 즉 혼합 공간은 상류 매니폴드들 및 가스 패널들보다는, 상대적으로 넓은 반응 공간 내에 있다. 상기 반응 공간의 상류의 유로들 부분의 타이트한 범위들 내에서 상호 작용이 일어나지 않게 함으로써, 잠재적으로 해로운 반응들이 방지되거나, 또는 반응들이 작은 부피에 한정되어 일어나지 않고 압력들이 낮게 유지될 수 있으며 손상이 적게 생기는 상기 반응 공간 내에서 이러한 반응들이 일어난다. 선택적 막 형성을 위한 전구체들 및 식각제들이 동시적으로 공급되든지 또는 순차적 단계들로 공급되든지, 이점들은 획득된다.
본 발명이 특정 실시예들 및 예들과 관련해서 개시되었지만, 기술분야의 당업자는 구체적으로 개시된 실시예들을 넘어 대안적인 실시예들 및/또는 본 발명의 이용들 및 명백한 변경들로 본 발명이 확장되는 것을 이해할 것이다. 따라서, 본 명세서에 개시된 본 발명의 범위는 상술한 구체적으로 개시된 실시예들에 의해 한정되지 않으며, 하기의 청구항들의 공정한 해석에 의해서만 결정되어야 한다.

Claims (32)

  1. 반응 공간 내에서 기판 상에 반도체 층을 선택적으로 형성하는 방법으로서,
    상기 기판은 서로 상이한 물질 조성, 상이한 결정 모폴로지, 또는 상이한 전기적 특성들 중의 하나 이상을 갖는 제1 표면 및 제2 표면을 포함하고,
    반도체 증착을 위한 전구체 및 증기 식각제를 별도의 유로(flow path)들을 통해 상기 반응 공간 내로 분리하여 도입하는 단계;
    상기 기판을 상기 전구체 및 상기 증기 식각제에 노출하는 단계; 및
    상기 제2 표면보다 큰 평균 속도로 상기 제1 표면 상에 상기 반도체 층을 선택적으로 형성하는 단계;를 포함하고,
    상기 분리하여 도입하는 단계는, 상기 전구체 및 상기 증기 식각제를 대향하는 방향들로부터 상기 반응 공간 내로 도입하는 단계를 포함하는 반도체 층의 선택적 형성 방법.
  2. 제1 항에 있어서,
    상기 분리하여 도입하는 단계 및 상기 노출하는 단계는,
    상기 제1 표면 및 상기 제2 표면 모두 상에 전면 증착 층(blanket layer)을 증착하기 위하여 상기 반응 공간 내에 상기 전구체를 도입하는 단계; 및
    상기 제1 표면 상에 적어도 일부의 상기 전면 증착 층을 남기면서, 상기 제2 표면으로부터 상기 전면 증착 층을 선택적으로 제거하기 위하여, 상기 증기 식각제를 후속하여 도입하는 단계;를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  3. 제2 항에 있어서,
    전면 증착 층을 증착하기 위하여 상기 전구체를 도입하는 단계; 및
    선택적으로 제거하기 위하여 상기 증기 식각제를 후속하여 도입하는 단계;를 순환적으로 반복하는 단계를 더 포함하는 반도체 층의 선택적 형성 방법.
  4. 삭제
  5. 제1 항에 있어서,
    상기 전구체 및 상기 증기 식각제를 위한 상기 별도의 유로들은 상기 반응 공간 내의 혼합 공간에서 교차되고, 상기 혼합 공간으로부터 상기 기판으로 공통의 유로를 형성하며, 상기 공통의 유로는 상기 혼합 지점 및 상기 기판 사이에서 유동 제한부(flow restriction)들을 거치지 않는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  6. 제1 항에 있어서,
    상기 분리하여 도입하는 단계, 상기 노출하는 단계 및 상기 선택적으로 형성하는 단계 동안, 상기 반응 공간을 1 Torr와 200 Torr 사이의 압력으로 유지하는 단계를 더 포함하는 반도체 층의 선택적 형성 방법.
  7. 제1 항에 있어서,
    상기 도입하는 단계는, 상기 전구체 및 상기 증기 식각제 모두를 반응 챔버 내로 동시에 도입하는 단계를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  8. 제1 항에 있어서,
    상기 제1 및 제2 표면들은 상이한 표면 모폴로지(morphology)들을 갖는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  9. 제1 항에 있어서,
    상기 전구체는 실리콘, 탄소, 및 게르마늄으로 구성된 군으로부터 선택된 적어도 하나의 원소를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  10. 제1 항에 있어서,
    상기 분리하여 도입하는 단계는, 트리실란(trisilane) 및 염소 가스를 분리하여 도입하는 단계를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  11. 제1 항에 있어서,
    상기 전구체 및 상기 증기 식각제는, 적어도 다이클로로실란(dichlorosilane) 및 염소 가스 사이의 반응만큼 발열되는 반응으로 서로 반응할 수 있는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  12. 제1 항에 있어서,
    상기 선택적으로 형성하는 단계는, 절연체를 포함하는 상기 제2 표면 상에 순 증착됨이 없이, 상기 제1 표면 상에 상기 반도체 층을 에피텍셜로 증착하는 단계를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  13. 제1 항에 있어서,
    상기 증기 식각제는 이원자 염소(Cl2)를 포함하는 것을 특징으로 하는 반도체 층의 선택적 형성 방법.
  14. 기판 상에 반도체 막을 선택적으로 형성하는 장치로서,
    반응 공간을 포함하는 화학 기상 증착(CVD) 반응기;
    상기 반응 공간 내에 배치되고, 기판을 수용하기 위한 크기와 형상을 갖는 기판 지지부;
    상기 반응 공간과 유체(fluid) 연결된 하나 이상의 주입부(inlet)들의 제1 주입부 세트;
    상기 반응 공간과 유체 연결된 하나 이상의 주입부들의 제2 주입부 세트;
    상기 제1 주입부 세트에 반도체 증착을 위한 전구체를 공급하기 위해 구성된 제1 가스 소스; 및
    상기 제2 주입부 세트에 식각제를 공급하기 위해 구성된 제2 가스 소스;를 포함하고,
    상기 주입부 세트들 및 소스들은, 상기 반응 공간 내의 혼합 공간으로의, 상기 전구체 및 상기 식각제에 대한 별도의 유로들을 정의하고,
    상기 식각제와 전구체가 균일하게 혼합 가능하도록 상기 유로들과 반응 공간이 배치되고,
    기판 위에 반도체 막을 선택적으로 형성하기 위하여 상기 반응 공간은 상기 혼합 공간으로부터 상기 기판 지지부 상의 기판까지 상기 전구체 및 식각제에 대한 공통의 유로를 정의하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  15. 제14 항에 있어서,
    상기 혼합 공간 및 상기 기판 지지부 사이에, 유체 유동(fluid flow)에 대한 제한부들이 없는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  16. 제14 항에 있어서,
    상기 혼합 공간은 상기 기판이 통과하기에 충분한 너비를 갖는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  17. 제14 항에 있어서,
    상기 CVD 반응기는 단일 웨이퍼의 수평 유동 챔버를 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  18. 제17 항에 있어서,
    상기 제1 및 제2 주입부 세트들은 상기 챔버에 인접한 주입부 플랜지(flange) 내에 형성되며, 상기 반응 공간은 상기 주입부 플랜지에 의해 정의되는 상기 혼합 공간 및 상기 챔버에 의해 정의되는 내부 공간을 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  19. 제14 항에 있어서,
    상기 제1 및 제2 주입부 세트들은 상기 혼합 공간의 다른 벽들 상에 배치되는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  20. 제19 항에 있어서,
    상기 제1 및 제2 주입부 세트들은 상기 혼합 공간의 대향하는 벽들 상에 배치되는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  21. 제19 항에 있어서,
    상기 제1 및 제2 주입부 세트들은 상기 반응 공간의 주입부 말단에 배치되고, 상기 기판 지지부는 상기 주입부 말단(inlet end) 및 상기 반응 공간의 배출구 말단(exhaust end)의 사이에 위치하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  22. 제21 항에 있어서,
    상기 제1 및 제2 주입부 세트들은 상기 혼합 공간의 상부 및 하부에 각각 배치되는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  23. 제14 항에 있어서,
    상기 전구체는 트리실란(Si3H8)을 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  24. 제14 항에 있어서,
    상기 식각제는 이원자 염소를 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  25. 제14 항에 있어서,
    상기 제2 주입부 세트로 캐리어(carrier) 가스가 공급되고, 상기 캐리어 가스는 수소를 함유하지 않는(non-hydrogen) 불활성 가스를 적어도 하나 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  26. 제14 항에 있어서,
    상기 CVD 반응기는 상기 기판 지지부 상에 위치한 기판을 가열하기 위해 구성된 방사 히터(radiant heater)들을 포함하는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  27. 제14 항에 있어서,
    상기 혼합 공간은 상기 반응 공간의 말단에 위치하는 게이트 밸브에 인접한 기판 삽입 개구부 내에 정의되는 것을 특징으로 하는 반도체 막의 선택적 형성 장치.
  28. 제14 항에 있어서,
    상기 제1 및 제2 주입부 세트들 각각에 상기 전구체 및 상기 식각제를 교대로 그리고 순차로 공급하기 위해 프로그래밍된 제어기를 더 포함하는 반도체 막의 선택적 형성 장치.
  29. 제14 항에 있어서,
    상기 제1 및 제2 주입부 세트들 각각에 상기 전구체 및 상기 식각제를 동시에 공급하기 위해 프로그래밍된 제어기를 더 포함하는 반도체 막의 선택적 형성 장치.
  30. 반응 공간을 포함하는 화학 기상 증착(CVD) 반응기;
    상기 반응 공간 내의 기판 지지부;
    상기 반응 공간과 유체 연결되고, 반도체 증착을 위한 전구체를 복수의 주입부들을 통해 상기 반응 공간의 폭을 가로질러 퍼지게 하도록 구성된 매니폴드(manifole)를 포함하는 하나 이상의 주입부들의 제1 주입부 세트;
    상기 반응 공간과 유체 연결된 하나 이상의 주입부들의 제2 주입부 세트;
    상기 제1 주입부 세트에 상기 전구체를 공급하도록 구성된 제1 가스 소스; 및
    상기 제2 주입부 세트에 식각제를 공급하도록 구성된 제2 가스 소스;를 포함하고,
    상기 주입부 세트들 및 소스들은, 상기 반응 공간 내의 혼합 공간으로의, 상기 전구체 및 상기 식각제에 대한 별도의 유로들을 정의하고,
    상기 식각제와 전구체가 균일하게 혼합 가능하도록 상기 유로들과 반응 공간이 배치되고,
    기판 위에 반도체 막을 선택적으로 형성하기 위하여 상기 반응 공간은 상기 혼합 공간으로부터 상기 기판 지지부 상의 기판까지 상기 전구체 및 식각제에 대한 공통의 유로를 정의하는 것을 특징으로 하는 장치.
  31. 제30 항에 있어서,
    상기 제2 주입부 세트는 상기 식각제를 복수의 슬릿들을 통해 상기 반응 공간의 폭을 가로질러 퍼지게 하기 위해 구성된 매니폴드를 포함하는 것을 특징으로 하는 장치.
  32. 반응 공간 내에서 기판 위에 반도체 층을 선택적으로 형성하는 방법으로서,
    상기 기판은 서로 상이한 물질 조성, 상이한 결정 모폴로지, 또는 상이한 전기적 특성들 중의 하나 이상을 갖는 제1 표면 및 제2 표면을 포함하고,
    반도체 증착을 위한 전구체 및 증기 식각제를, 상기 전구체 및 상기 증기 식각제에 대한 상기 반응 공간으로의 별도의 유로를 정의하는 별도의 주입부들을 통하여 별도로 도입하는 단계;
    상기 기판을 상기 전구체 및 상기 증기 식각제에 노출하는 단계; 및
    상기 반도체 층을 상기 제 2 표면에서보다 더 큰 평균 속도로 상기 제 1 표면 위에 선택적으로 형성하는 단계;
    를 포함하고, 상기 별도로 도입하는 단계는 상기 전구체와 상기 증기 식각제를 대향하는 방향들로부터 상기 반응 공간 내부로 도입하는 단계를 포함하는 반도체 층의 선택적 형성 방법.
KR1020107014878A 2007-12-21 2008-10-22 막들의 선택적 형성에 있어서 반응성 종의 분리 주입 KR101413189B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/963,627 2007-12-21
US11/963,627 US7655543B2 (en) 2007-12-21 2007-12-21 Separate injection of reactive species in selective formation of films
PCT/US2008/080803 WO2009085376A2 (en) 2007-12-21 2008-10-22 Separate injection of reactive species in selective formation of films

Publications (2)

Publication Number Publication Date
KR20100103552A KR20100103552A (ko) 2010-09-27
KR101413189B1 true KR101413189B1 (ko) 2014-06-27

Family

ID=40474957

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107014878A KR101413189B1 (ko) 2007-12-21 2008-10-22 막들의 선택적 형성에 있어서 반응성 종의 분리 주입

Country Status (6)

Country Link
US (2) US7655543B2 (ko)
EP (1) EP2231896B1 (ko)
JP (1) JP5162674B2 (ko)
KR (1) KR101413189B1 (ko)
TW (1) TWI445117B (ko)
WO (1) WO2009085376A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US8363586B2 (en) * 2008-12-31 2013-01-29 Intel Corporation Social networking and advertisements in a mobile device on a local personal area network
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
JP6633638B2 (ja) 2014-09-17 2020-01-22 リチャード、 エム. レビタン、 気管内チューブ挿管用の導入器
GB2547017B (en) 2016-02-04 2021-08-04 Intersurgical Ag Improvements to intubation aids
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS61291410A (ja) 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
EP0254651B1 (en) 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JP3149464B2 (ja) * 1991-06-28 2001-03-26 日本電気株式会社 シリコンエピタキシャル膜の選択成長方法及びその装置
JPH05102509A (ja) * 1991-10-11 1993-04-23 Canon Inc 太陽電池及びその製造方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
JPH06338456A (ja) * 1993-05-31 1994-12-06 Sony Corp 気相成長装置
DE69433656T2 (de) * 1993-07-30 2005-02-17 Applied Materials, Inc., Santa Clara Verfahren zum Einleiten reaktiven Gases in eine Substratbearbeitungsvorrichtung
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
JP2000212749A (ja) 1999-01-22 2000-08-02 Ulvac Japan Ltd 薄膜形成装置、及び窒化タングステン薄膜製造方法
EP1024201B1 (en) * 1999-01-27 2003-11-26 Commissariat A L'energie Atomique Microassay for serial analysis of gene expression and applications thereof
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
EP1125321B1 (en) 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
EP1247292B1 (en) 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
DE10026180A1 (de) 2000-05-26 2001-12-06 Steag Rtp Systems Gmbh Vorrichtung und Verfahren zum Beschichten von Objekten
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6770144B2 (en) * 2000-07-25 2004-08-03 International Business Machines Corporation Multideposition SACVD reactor
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
AU2001283138A1 (en) 2000-08-07 2002-02-18 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
KR100531629B1 (ko) * 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4086610B2 (ja) * 2002-09-27 2008-05-14 株式会社日立国際電気 基板処理装置
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4782670B2 (ja) * 2003-03-13 2011-09-28 エーエスエム アメリカ インコーポレイテッド エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7071127B2 (en) * 2003-05-20 2006-07-04 Promos Technologies, Inc. Methods for improving quality of semiconductor oxide composition formed from halogen-containing precursor
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
WO2005042160A2 (en) 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
JP2005243924A (ja) * 2004-02-26 2005-09-08 Hitachi Kokusai Electric Inc 基板処理装置
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
EP1738001A2 (en) 2004-04-23 2007-01-03 ASM America, Inc. In situ doped epitaxial films
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8088223B2 (en) 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
GB0505752D0 (en) * 2005-03-21 2005-04-27 Element Six Ltd Diamond based substrate for gan devices
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
JP2007157866A (ja) * 2005-12-02 2007-06-21 Sony Corp 成膜方法および半導体装置の製造方法
JP2007197302A (ja) * 2005-12-28 2007-08-09 Sumitomo Electric Ind Ltd Iii族窒化物結晶の製造方法および製造装置
WO2007084493A2 (en) 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007117576A2 (en) * 2006-04-07 2007-10-18 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
WO2004025716A1 (en) * 2002-09-14 2004-03-25 Ips Ltd. Flow-type thin film deposition apparatus and injector assembly therefor
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films

Also Published As

Publication number Publication date
WO2009085376A2 (en) 2009-07-09
US7897491B2 (en) 2011-03-01
TW200929419A (en) 2009-07-01
WO2009085376A3 (en) 2009-10-15
EP2231896A2 (en) 2010-09-29
JP5162674B2 (ja) 2013-03-13
TWI445117B (zh) 2014-07-11
JP2011508426A (ja) 2011-03-10
EP2231896B1 (en) 2017-12-27
US7655543B2 (en) 2010-02-02
KR20100103552A (ko) 2010-09-27
US20100093159A1 (en) 2010-04-15
US20090163001A1 (en) 2009-06-25

Similar Documents

Publication Publication Date Title
KR101413189B1 (ko) 막들의 선택적 형성에 있어서 반응성 종의 분리 주입
US8486191B2 (en) Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US7674337B2 (en) Gas manifolds for use during epitaxial film formation
KR101883360B1 (ko) 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
US7396743B2 (en) Low temperature epitaxial growth of silicon-containing films using UV radiation
US20070155138A1 (en) Apparatus and method for depositing silicon germanium films
KR101371435B1 (ko) 처리유닛을 포함하는 기판 처리 장치
KR101369355B1 (ko) 에피택셜 층 형성 동안에 형태를 제어하는 방법
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
US9127345B2 (en) Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
TW201413032A (zh) 藉由熱cvd形成鍺錫合金之方法與設備
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
EP2021525A2 (en) Gas manifolds for use during epitaxial film formation
JP2002539327A (ja) 基板表面への金属酸化物の化学的気相成長法による成膜方法および装置
US20110136328A1 (en) Method for depositing ultra fine grain polysilicon thin film
JP2822756B2 (ja) 気相成長装置およびその薄膜形成方法
US20110111582A1 (en) Method for depositing ultra fine grain polysilicon thin film

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180529

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 6