TWI428442B - 移除蝕刻後殘餘之氧化水性清潔劑 - Google Patents

移除蝕刻後殘餘之氧化水性清潔劑 Download PDF

Info

Publication number
TWI428442B
TWI428442B TW095137110A TW95137110A TWI428442B TW I428442 B TWI428442 B TW I428442B TW 095137110 A TW095137110 A TW 095137110A TW 95137110 A TW95137110 A TW 95137110A TW I428442 B TWI428442 B TW I428442B
Authority
TW
Taiwan
Prior art keywords
amine
cleaning composition
acid
ether
aqueous cleaning
Prior art date
Application number
TW095137110A
Other languages
English (en)
Other versions
TW200730621A (en
Inventor
W Minsek David
B Korzenski Michael
M Rajaratnam Martha
Kiing Mackenzie
Angst David
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW200730621A publication Critical patent/TW200730621A/zh
Application granted granted Critical
Publication of TWI428442B publication Critical patent/TWI428442B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides

Description

移除蝕刻後殘餘之氧化水性清潔劑
本發明係關於用於自微電子裝置移除蝕刻後殘餘物及/或含鈦硬光罩(hardmask)材料的水性氧化組成物及其製造及使用方法,其中該氧化組成物對於含鈦材料具有相對於微電子裝置上之層間介電質(ILD)及金屬互連材料的高選擇性。
半導體電路中之互連電路係由被絕緣介電材料包圍的傳導性金屬電路所組成。過去廣泛地使用由原矽酸四乙酯(TEOS)氣相沈積得的矽酸鹽玻璃作為介電材料,而使用鋁合金作為金屬互連體。
對於較高處理速度的需求導致電路元件的尺寸變小,且要以較高性能的材料取代TEOS及鋁合金。由於銅具較高的傳導性,因而鋁合金已被銅或銅合金所取代。TEOS及氟化矽酸鹽玻璃(FSG)已被所謂的低k介電質,包括低極性材料諸如有機聚合物、有機/無機混成材料、有機矽酸鹽玻璃(OSG)、及經摻雜碳之氧化物(CDO)玻璃所取代。於此等材料中併入孔隙度(即填充空氣的孔隙)可進一步降低材料的介電常數。
在積體電路的雙重鑲嵌(dual-damascene)加工中,使用微影術(photolithography)於將圖案成像於裝置晶圓上。微影技術包括塗布、曝光、及顯影之步驟。先將晶圓塗布正型或負型光阻劑物質,接著再覆蓋界定待於後續製程中保留或移除之圖案的光罩。於將光罩適當定位後,將一束單色輻射,諸如紫外(UV)光或深UV(DUV)光(50奈米或193奈米),導引通過光罩,以使經暴露的光阻劑材料或多或少可溶解於選定的沖洗溶液中。然後藉由將可溶解的光阻劑材料移除,或「顯影」,因而留下與光罩相同的圖案。
其後使用氣相電漿蝕刻於將經顯影光阻劑塗層之圖案轉移至可包括硬光罩、層間介電質(ILD)、及/或蝕刻止停層的下層。典型上會有電漿蝕刻後殘餘物沈積於後段製程(BEOL;back-end-of-the-line)結構上,若未將其移除的話,其將會干擾後續的矽化或接點形成。電漿蝕刻後殘餘物典型上包括存在於基板上及於電漿氣體中之化學元素。舉例來說,若使用TiN硬光罩,例如,作為在ILD上方之覆蓋層,則電漿蝕刻後殘餘物包括含鈦物種,其很難使用習知之濕式清洗化學品移除。此外,習知之清洗化學品通常會損壞ILD,吸收至ILD之孔隙中,因而提高介電常數,及/或侵蝕金屬結構。舉例來說,經緩衝之氟化物及基於溶劑之化學物質無法將TiN及含Ti之殘餘物完全移除,而含羥胺及氨-過氧化物之化學物質則會侵蝕銅。
除了含鈦硬光罩及/或含鈦電漿蝕刻後殘餘物的期望移除外,亦將於電漿蝕刻後製程期間所沈積的額外材料,諸如位於圖案化裝置之側壁上之聚合殘餘物及位於裝置之開放通道結構中之含銅殘餘物移除較佳。迄今為止,尚未有單一的濕式清潔組成物能成功地將所有的殘餘物及/或硬光罩材料移除,同時仍可與ILD、其他低k介電材料、及金屬互連材料相容。
將新穎材料,諸如低k介電質,整合至微電子裝置中,會對清潔性能產生新的需求。同時,縮小裝置尺寸會使對臨界尺寸變化及裝置元件損傷的耐受性降低。可修改蝕刻條件以滿足新穎材料的需求。同樣地,必需修改電漿蝕刻後清潔組成物。更重要地,清潔劑應不會損壞下方的介電材料或侵蝕裝置上的金屬互連材料(例如,銅、鎢、鈷、鋁、釕、及其之矽化物)。
為此,本發明之一目的為提供用於自微電子裝置選擇性且有效地移除含鈦電漿蝕刻後殘餘物、聚合側壁殘餘物、含銅通道殘餘物及/或含鈦硬光罩層的經改良水性組成物,該組成物可與ILD及金屬互連材料相容。
本發明之另一目的為提供相對於習知之含過氧化物之清潔組成物具有延長之浴液壽命(bath-life)的經改良水性組成物。
本發明大致係關於清潔組成物及其之製造及使用方法。本發明之一態樣係關於一種用於自其上具有電漿蝕刻後殘餘物及/或含鈦硬光罩之微電子裝置清潔該殘餘物及/或硬光罩,同時並不會損及微電子裝置表面上之金屬及ILD材料的氧化水性組成物及方法。本發明之氧化水性清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。
在一態樣中,本發明係關於一種氧化水性清潔組成物,其包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
在另一態樣中,本發明係關於一種套組,其包括容納於一或多個容器中之用於形成氧化水性清潔組成物的一或多種以下試劑,該一或多種試劑係選自由下列成分所組成之群:至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一共溶劑,視需要之至少一鉗合劑,視需要之至少一緩衝物種,及水,且其中該套組適於形成一適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或材料之氧化水性清潔組成物。
在又另一態樣中,本發明係關於一種自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上移除該殘餘物及/或硬光罩之方法,該方法包括使微電子裝置與氧化水性清潔組成物接觸足夠的時間,以自微電子裝置至少部分清潔該殘餘物及/或硬光罩,其中該氧化水性清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。
本發明之又另一態樣係關於一種氧化水性清潔組成物,其包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
在又另一態樣中,本發明係關於一種氧化水性清潔組成物,其包含過氧化氫、至少一胺-N-氧化物、視需要之至少一有機共溶劑、視需要之至少一金屬鉗合劑、視需要之至少一緩衝物種、及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
本發明之又另一態樣係關於一種氧化水性清潔組成物,其包含過氧化氫、至少一胺-N-氧化物、至少一有機共溶劑、至少一金屬鉗合劑、至少一緩衝物種、及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
本發明之另一態樣係關於一種氧化水性清潔組成物,其包含過氧化氫、至少一胺-N-氧化物、二甘醇丁基醚、1,2,4-三唑、氫氧化四甲銨、檸檬酸、及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
本發明之又一態樣係關於一種氧化水性清潔組成物,其包含過氧化氫、至少一胺-N-氧化物、二甘醇丁基醚、1,2-環己烷二胺-N,N,N’,N’-四乙酸、氫氧化四甲銨、硼酸、及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
本發明之再一態樣係關於一種氧化水性清潔組成物,其包含過氧化氫、1,2-環己烷二胺-N,N,N’,N’-四乙酸、硼酸、及水,其中該水性清潔組成物適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
本發明之再一態樣係關於一種自微電子裝置基板移除障壁層材料的CMP漿液組成物,該CMP漿液組成物包含磨料,至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一金屬鉗合劑,視需要之至少一有機共溶劑,視需要之至少一緩衝物種,及水,其中該CMP漿液組成物適用於相對於金屬互連體及介電材料層選擇性地移除障壁層材料。
本發明之另一態樣係關於一種自具有電漿蝕刻後殘餘物之微電子裝置上移除該殘餘物之方法,該方法包括:使微電子裝置與氧化水性清潔組成物接觸足夠的時間,以自微電子裝置至少部分清潔該殘餘物,其中該氧化水性清潔組成物包含至少一氧化劑、包含胺-N-氧化物之至少一氧化劑安定劑、視需要之至少一有機共溶劑、視需要之至少一金屬鉗合劑、視需要之至少一緩衝物種、及水;及使微電子裝置與稀氫氟酸溶液接觸足夠的時間,以自金屬互連體材料至少部分移除電漿蝕刻後殘餘物。
本發明之另一態樣係關於一種包含水性清潔組成物、微電子裝置、及電漿蝕刻後殘餘物及/或硬光罩材料的製造物件,其中該水性組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。
在再一態樣中,本發明係關於一種製造微電子裝置之方法,該方法包括使微電子裝置與氧化水性清潔組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置至少部分移除該殘餘物及/或材料,其中該氧化水性組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。
本發明之又另一態樣係關於經改良的微電子裝置、及併入該裝置之產品,其係使用包括利用文中所述之方法及/或組成物自其上具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置清潔該殘餘物及/或材料之本發明之方法所製得,及視需要將該微電子裝置併入至一產品中。
本發明之其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明白。
本發明之一態樣係關於包含至少一氧化劑(較佳為過氧化氫)及至少一氧化劑安定劑(較佳為胺-N-氧化物)的清潔組成物。本發明較佳係關於用於自具有含鈦蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物及/或硬光罩層之微電子裝置(參見,例如,圖1A及1B)上清潔該殘餘物及/或層的氧化水性組成物,該組成物可與微電子裝置表面上之超低k(ULK)介電材料(諸如OSG及多孔性CDO)及金屬互連材料(例如,銅及鈷)相容。
為容易參考起見,「微電子裝置」係相當於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平面顯示器、及微機電系統(MEMS)。應明瞭術語「微電子裝置」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板。
文中所定義之「氧化劑安定劑」係相當於可延長氧化劑之浴液壽命且可與存在於微電子裝置表面上之金屬互連材料(例如,銅)相容的物種。在氧化劑安定劑之存在下,較佳有不多於10%之氧化劑在溫度約30℃至約50℃範圍內,在24小時之期間內分解,更佳有不多於5%在該期間內分解,最佳有不多於2%在該期間內分解。
文中所使用之「蝕刻後殘餘物」及「電漿蝕刻後殘餘物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)後殘餘的材料。蝕刻後殘餘物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、硬光罩覆蓋層材料(例如,含鈦材料)、含氮材料、含氧材料、聚合殘餘物材料、含銅殘餘物材料、蝕刻氣體殘餘物(諸如氯及氟)、及其之組合。
文中所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有低於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽有機/無機混成材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻雜碳之氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
文中所定義之術語「聚合側壁殘餘物」係相當於在電漿蝕刻製程後殘留於圖案化裝置之側壁上的殘餘物。殘餘物之性質實質上為聚合物,然而,應明瞭亦可有無機物種(例如,含鈦、矽及/或銅之物種)存在於殘餘物中。
文中所使用之「約」係指相當於所述值的±5%。
文中所使用之「適用」於自其上具有含鈦蝕刻後殘餘物、聚合側壁殘餘物、含銅通道及線路殘餘物及/或硬光罩層之微電子裝置清潔該殘餘物及/或材料係相當於自微電子裝置至少部分移除該殘餘物及/或材料。使用本發明之組成物自微電子裝置移除至少約90%之一或多種材料較佳,至少95%之一或多種材料更佳,及至少99%之一或多種材料最佳。
文中所使用之「硬光罩覆蓋層」係相當於在電漿蝕刻步驟期間沈積於介電材料上以保護其的材料。硬光罩覆蓋層傳統上係氮化矽、氧氮化矽及其他類似的化合物。此處進一步涵蓋包括氮化鈦及氧氮化鈦的硬光罩覆蓋層。
文中所定義之「胺物種」包括第一胺、第二胺、第三胺及胺-N-氧化物物種。
本發明之組成物可以如更完整說明於後文之相當多樣的明確配方具體實施。
在所有此等組成物中,當組成物之特定成分係參照包括零下限的重量百分比範圍作論述時,當明瞭在組成物之各種特定具體例中可存在或不存在此等成分,及在存在此等成分之情況中,以其中使用此等成分之組成物的總重量計,其可以低至0.001重量百分比之濃度存在。
氮化鈦化合物使用先前技藝之含氨組成物素有難以蝕刻的惡名。本發明人發現一種不含氨及/或強鹼(例如,NaOH、KOH等等)的清潔組成物可自其上具有含鈦殘餘物、含鈦硬光罩材料(例如,氮化鈦)、或兩者之微電子裝置之表面有效且選擇性地移除該等材料。此外,此組成物相對於先前技藝之氨-過氧化物組成物具有實質上較長的浴液壽命,且將可實質上地移除聚合側壁殘餘物及含銅殘餘物。本發明之組成物可經調配為自微電子裝置之表面實質上地移除含鈦殘餘物、聚合側壁殘餘物及/或含銅殘餘物,而不會實質上地損傷下方的ILD、金屬互連材料、及硬光罩層。或者,此組成物可經調配為自微電子裝置之表面額外地移除硬光罩層,而不會實質上地損傷下方的低k介電質及金屬互連材料,如詳細說明於文中。
本發明之氧化清潔組成物包含至少一氧化劑,視需要之至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水,以用於清潔選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、及其組合所組成之群之硬光罩層及/或電漿蝕刻後殘餘物。在另一具體例中,本發明之氧化清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。在又一具體例中,本發明之氧化清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。在又另一具體例中,本發明之氧化清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水。
在一態樣中,本發明係關於一種用於清潔選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、及其組合所組成之群之硬光罩層及/或電漿蝕刻後殘餘物的氧化清潔組成物,該組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水,其係基於組成物之總重量以下列範圍存在:
在本發明之廣泛實行中,氧化清潔組成物可包含以下成分,由其所組成,或基本上由其所組成:(i)至少一氧化劑,視需要之至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水;(ii)至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水;(iii)至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水;(iv)至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水;(v)至少一氧化劑,至少一胺-N-氧化物,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水;(vi)過氧化氫,至少一胺-N-氧化物,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水;(vii)過氧化氫,至少一胺-N-氧化物,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水;或(viii)過氧化氫,視需要之至少一胺-N-氧化物,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水。
水為去離子水較佳。以組成物之總重量計,若存在之有機共溶劑係以約0.1重量%至約25重量%之量存在,金屬鉗合劑係以約0.01重量%至約1重量%之量存在,及緩衝物種係以約0.01重量%至約5重量%之量存在。
在本發明之一較佳具體例中,當氧化水性清潔組成物係用於自其上具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置移除該等材料時,即當用於在後續沈積或成層步驟之前移除蝕刻後殘餘物時,其實質上不含典型上存在於化學機械拋光(CMP)漿液中之研磨材料,例如,矽石、氧化鋁等等。「實質上不含」在此係經定義為低於2重量%,較佳低於1重量%,更佳低於0.5重量%,及最佳低於0.1重量%。然而,此處涵蓋氧化水性清潔組成物可包含典型上存在於化學機械拋光(CMP)漿液中之研磨材料,例如,矽石、氧化鋁等等,以使用於化學機械拋光製程,例如,步驟II CMP加工中。
令人驚奇地發現當將氮化鈦(III)氧化成氧化鈦(IV)物種時,鈦(IV)物種可輕易地溶解於中性組成物中,此可能係由於殘餘物中同時存在其他物種(例如,含矽及氧之物種)所致。因此,在本發明之廣泛實行中,氧化水性清潔組成物之pH範圍係約3至約9,約6至約9較佳,及約6.5至約8.5最佳。
此處涵蓋的氧化物種包括,但不限於,過氧化氫(H2 O2 )、硝酸鐵(Fe(NO3 )3 )、碘酸鉀(KIO3 )、過錳酸鉀(KMnO4 )、硝酸(HNO3 )、亞氯酸銨(NH4 ClO2 )、氯酸銨(NH4 ClO3 )、碘酸銨(NH4 IO3 )、過硼酸銨(NH4 BO3 )、過氯酸銨(NH4 ClO4 )、過碘酸銨(NH4 IO3 )、過硫酸銨((NH4 )2 S2 O8 )、亞氯酸四甲銨((N(CH3 )4 )ClO2 )、氯酸四甲銨((N(CH3 )4 )ClO3 )、碘酸四甲銨((N(CH3 )4 )IO3 )、過硼酸四甲銨((N(CH3 )4 )BO3 )、過氯酸四甲銨((N(CH3 )4 )ClO4 )、過碘酸四甲銨((N(CH3 )4 )IO4 )、過硫酸四甲銨((N(CH3 )4 )S2 O8 )、過氧化脲((CO(NH2 )2 )H2 O2 )、過乙酸(CH3 (CO)OOH)、及其之組合。氧化劑包括過氧化氫較佳。此處涵蓋可將氧化劑(例如,H2 O2 )直接添加至清潔組成物或於原位產生。本發明之一較佳態樣係關於一種包含H2 O2 ,且在使用前可儲存至少6小時,至少12小時更佳,至少24小時又更佳的組成物。
氧化劑安定劑包含胺物種,包括,但不限於:第一胺諸如單乙醇胺、胺基乙氧乙醇(二甘醇胺)、單異丙醇胺、異丁醇胺、及C2 -C8 烷醇胺;第二胺諸如甲基乙醇胺、N-甲基胺基乙醇、及二乙醇胺;第三胺諸如三乙醇胺、甲基二乙醇胺、三乙胺、N,N-二甲基甘醇胺、N,N-二甲基二甘醇胺、五甲基二伸乙三胺;胺-N-氧化物諸如N-甲基啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物;及其之經取代衍生物或組合諸如氧偶氮基、肟、氧氮、及唑啶。胺物種較佳包括NMMO。
此處涵蓋之有機共溶劑包括,但不限於,乙二醇、丙二醇(PG)、新戊二醇、1,3-丙二醇、二甘醇、二丙二醇、甘油、甲醯胺、乙醯胺、高碳數醯胺、N-甲基吡咯啶酮(NMP)、N,N-二甲基甲醯胺、N,N-二甲基乙醯胺、四氫噻吩碸、二甲亞碸(DMSO)、γ-丁內酯、碳酸丙烯酯、二甘醇單甲基醚、三甘醇單甲基醚、二甘醇單乙基醚、三甘醇單乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、二甘醇單丁基醚(即丁卡必醇)、三甘醇單丁基醚、乙二醇單己基醚、二甘醇單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其之組合。有機共溶劑包括丁卡必醇、四氫噻吩碸、DMSO、及其之組合較佳。
據認為本發明之氧化水性清潔組成物中之視需要之金屬鉗合劑可使銅溶解減至最小,其可在本發明之氧化劑的存在下加速,以及使含有氧化劑之組成物安定。視需要之金屬鉗合劑可包括一或多種成分,包括,例如,三唑,諸如1,2,4-三唑(TAZ),或經諸如C1 -C8 烷基、胺基、硫醇、巰基、亞胺基、羧基及硝基之取代基取代的三唑,諸如苯并三唑(BTA)、甲苯三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑等等,以及噻唑、四唑、咪唑、磷酸酯、硫醇及類諸如2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑(ATA)、5-胺基-1,3,4-噻二唑-2-硫醇、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、巰基苯并噻唑、咪唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲二唑、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、及其之組合。金屬鉗合劑較佳包括TAZ及/或CDTA。
可包含視需要之緩衝物種,以用於穩定pH及/或自經暴露之銅表面(例如,開放通道)選擇性地移除殘餘物。緩衝劑較佳包括弱酸之四烷基銨鹽,其中該四烷基銨鹽包括由[NR1 R2 R3 R4 ] 所表示之四烷基銨陽離子,其中R1 、R2 、R3 及R4 可彼此相同或不同,且係選自由C1 -C6 直鏈或分支鏈烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)或C6 -C1 0 經取代或未經取代芳基(例如,苄基)所組成之群,且該弱酸包括:硼酸;及羧酸諸如乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、檸檬酸、酞酸、其他脂族及芳族羧酸、以及前述酸之組合。緩衝物種較佳包括檸檬酸之四甲基銨鹽或硼酸之四甲基銨鹽。可使用市售之氫氧化四烷基銨於製造較佳之弱酸的四烷基銨鹽與前述之酸物種組合。舉例來說,可使用氫氧化四乙銨(TEAH)、氫氧化四甲銨(TMAH)、氫氧化四丙銨(TPAH)及氫氧化四丁銨(TBAH)。不可於市面購得的氫氧化四烷基銨可以熟悉技藝人士已知之類似用於製備TMAH、TEAH、TPAH及TBAH之已公開合成方法的方式製備。當存在金屬鉗合劑時,包含緩衝物種以穩定組成物之pH,以致金屬將不會侵蝕且過氧化物不會快速分解最佳。
此外,氧化水性清潔組成物可進一步包含表面活性劑、低k鈍化劑、蝕刻劑、消泡劑等等。
在各種較佳具體例中,氧化水性清潔組成物係經調配為以下的配方A-W,其中所有百分比係基於配方之總重量以重量計:配方A :10.0% N,N-二甲基二甘醇胺;5.0%過氧化氫;85.0%水配方B :11.2%三乙醇胺;5.0%過氧化氫;83.8%水配方C :6.6% N,N-二甲基乙醇胺;5.0%過氧化氫;88.4%水配方D :4.8% N-甲基乙醇胺;5.0%過氧化氫;90.2%水配方E :8.3% N,N-二甲基二甘醇胺;4.2%過氧化氫;87.5%水配方F :8.3% NMMO;4.2%過氧化氫;87.5%水配方G :0.1% TAZ;8.3% NMMO;4.2%過氧化氫;87.4%水配方H :0.1% 1,2,3-苯并三唑;8.3% NMMO;4.2%過氧化氫;87.4%水配方I :0.2% 1,2,4-三唑;15% NMMO;10%過氧化氫;10%二甘醇丁基醚;0.45%檸檬酸;0.55%氫氧化四甲銨;63.8%水配方J :0.2% 1,2,4-三唑;7.5% NMMO;1%過氧化氫;10%二甘醇丁基醚;0.45%檸檬酸;0.55%氫氧化四甲銨;80.3%水配方K :0.1% TAZ;8.3% NMMO;8.3%過氧化氫;81.4%水配方L :1.2% TAZ;20% NMMO;7.2%過氧化氫;63.4%水配方M :0.2% TAZ;13.4% NMMO;5%過氧化氫;10%二甘醇丁基醚;71.4%水配方N :0.2% TAZ;13.4% NMMO;10%過氧化氫;10%二甘醇丁基醚;66.4%水配方O :0.2% TAZ;15% NMMO;10%過氧化氫;10%二甘醇丁基醚;64.8%水配方P :0.2% 1,2,4-三唑;15% NMMO;1%過氧化氫;10%二甘醇丁基醚;73.8%水配方Q :1%過氧化氫;7.5% NMMO;10.0%丁卡必醇;0.2% 1,2,4-三;0.45%檸檬酸;0.55%氫氧化四甲銨;80.3%水配方R :10%過氧化氫;7.5% NMMO;10.0%丁卡必醇;0.2% 1,2,4-三;0.45%檸檬酸;0.55%氫氧化四甲銨;71.3%水配方S :0.011% CDTA;7.5% NMMO;1.0%過氧化氫;10%二甘醇丁基醚;0.52%硼酸;0.22%氫氧化四甲銨;80.75%水配方T :0.2% 1,2,4-三唑;1%過氧化氫;10%二甘醇丁基醚;0.45%檸檬酸;0.55%氫氧化四甲銨;87.8%水配方U :0.2% 1,2,4-三唑;1%過氧化氫;10%二甘醇丁基醚;88.8%水配方V :1%過氧化氫;10.0%丁卡必醇;0.2% 1,2,4-三;0.45%檸檬酸;0.55%氫氧化四甲銨;87.8%水配方W :0.011% CDTA;1.0%過氧化氫;10%二甘醇丁基醚;0.52%硼酸;0.22%氫氧化四甲銨;88.25%水
在一較佳具體例中,本發明之氧化水性組成物包含基於組成物之總重量以下列範圍存在的下列成分。
在本發明之一特佳具體例中,氧化清潔組成物包含過氧化氫、NMMO、至少一金屬鉗合劑及水。
在另一較佳具體例中,本發明之氧化水性組成物包含基於組成物之總重量以下列範圍存在的下列成分。
在本發明之一特佳具體例中,氧化清潔組成物包含過氧化氫、NMMO、至少一金屬鉗合劑、至少一有機共溶劑、及水。
在本發明之又另一較佳具體例中,本發明之氧化水性組成物包含基於組成物之總重量以下列範圍存在的下列成分。
在本發明之一特佳具體例中,氧化清潔組成物包含過氧化氫、NMMO、至少一金屬鉗合劑、至少一有機共溶劑、至少一緩衝物種、及水。舉例來說,氧化清潔組成物可包含1,2,4-三唑、NMMO、過氧化氫、二甘醇丁基醚、檸檬酸、氫氧化四甲銨及水。或者,氧化清潔組成物可包含CDTA、NMMO、過氧化氫、二甘醇丁基醚、硼酸、氫氧化四甲銨、及水。
在本發明之又另一較佳具體例中,本發明之氧化水性組成物包含至少一氧化劑、至少一有機溶劑、至少一金屬鉗合劑、至少一緩衝物種、及水。舉例來說,組成物可包含過氧化氫、CDTA及硼酸根離子(即四烷基銨鹽及硼酸)。
在本發明之另一具體例中,氧化清潔組成物包含過氧化氫,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,電漿蝕刻後殘餘物,及水。電漿蝕刻後殘餘物較佳包括選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、及其組合所組成之群之殘餘物材料。在本發明之又另一具體例中,氧化清潔組成物包含過氧化氫,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,硬光罩材料,及水。硬光罩材料較佳包括含鈦材料殘餘物。應注意殘餘物材料及/或硬光罩材料可溶解及/或懸浮於本發明之清潔組成物中。
TiN硬光罩蝕刻速率係強烈地視氧化劑物種的濃度而定。如不希望將硬光罩完全移除,則可使用較低濃度的氧化劑,例如,在約0.5重量%至約3重量%之範圍內,較佳約0.5重量%至約1.5重量%。如不希望將硬光罩完全移除,則清潔組成物之成分之重量百分比比例的範圍為:約1:1至約20:1之胺物種相對於氧化劑,約2:1至約10:1更佳;約1:1至約25:1之有機共溶劑相對於氧化劑,約5:1至約15:1較佳;約0.01:1至約0.4:1之金屬鉗合劑相對於氧化劑,約0.01:1至約0.2:1較佳;及約0.01:1至約2:1之緩衝劑相對於氧化劑,約0.5:1至約1.5:1較佳。
另一方面,若將硬光罩完全移除為較佳,則可使用較高濃度的氧化劑,例如,在約5重量%至約15重量%之範圍內,較佳約7.5重量%至約12.5重量%。如希望將硬光罩完全移除,則清潔組成物之成分之重量百分比比例的範圍為:約0.1:1至約5:1之胺物種相對於氧化劑,約0.75:1至約2:1更佳;約0.1:1至約10:1之有機共溶劑相對於氧化劑,約0.5:1至約2:1較佳;約0.01:1至約0.4:1之金屬鉗合劑相對於氧化劑,約0.01:1至約0.1:1較佳;及約0.01:1至約1:1之緩衝劑相對於氧化劑,約0.01:1至約0.5:1較佳。
當需要時(於製造點等等),可藉由將水添加至清潔組成物濃縮物而將經濃縮的氧化水性清潔組成物稀釋。清潔組成物較佳係以約0.1:1至約20:1之範圍稀釋(水對清潔組成物),約1:1至約10:1為較佳。
除了水溶液之外,此處亦涵蓋可將氧化水性清潔組成物調配為發泡體、霧狀物、次臨界(subcritical)或超臨界流體(即其中之溶劑為CO2 等等,而非水)。
本發明之氧化水性清潔組成物相對於先前技藝之含過氧化物之浴液具有延長之浴液壽命。已知含過氧化氫之組成物將會在微量金屬離子的存在下分解。因此,可藉由將金屬離子鉗合劑添加至清潔組成物而使含過氧化氫之組成物的分解減至最小。在50℃下於本發明之靜態氧化組成物(即其中無移除處理)中在24小時之後測量之過氧化物的百分比較佳大於起始濃度的約90%,更佳大於約95%,及最佳大於約98%。
此外,該清潔組成物較佳自微電子裝置之頂表面、側壁、及通道及線路選擇性地移除硬光罩及/或電漿蝕刻後殘餘物,而不損傷存在於裝置上之ILD及/或金屬互連層。與使用本發明相關的另一優點為不需要清潔後烘烤步驟於將可能會吸收至ILD材料孔隙中的揮發性材料移除。
根據一具體例,當在約30℃至約60℃範圍內之溫度下使用時,清潔組成物提供大於25埃()/分鐘之TiN硬光罩蝕刻速率,較佳大於50埃/分鐘及/或大於10:1之TiN/Cu選擇性,較佳大於20:1,更佳大於50:1,又更佳大於100:1,200:1再更佳及大於250:1最佳。組成物較佳具有文中所述之浴液壽命及/或儲存穩定性,因此而提供具經改良儲存及使用性質之高度選擇性的清潔組成物。
本發明之氧化水性清潔組成物係藉由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,氧化水性清潔組成物可經容易地調配為單包裝配方或在使用時混合的多份配方,較佳為多份配方。多份配方的個別份可於工具或於工具上游的儲槽中混合。在本發明之廣泛實行中,各別成份之濃度可在氧化水性清潔組成物之特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本發明之氧化水性清潔組成物可變化及替代地包含與本文之揭示一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,本發明之另一態樣係關於一種套組,其包括容納於一或多個容器中之一或多種適於形成本發明之組成物的成分。套組較佳包括容納於一或多個容器中之至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,至少一有機共溶劑,至少一金屬鉗合劑,至少一緩衝物種,及水的較佳組合,以於製造點或使用時再與氧化來源結合。根據另一具體例,套組包括用於在製造點或使用時與氧化來源及水結合的至少一含第三胺之添加劑、至少一有機共溶劑、至少一緩衝劑、至少一金屬鉗合劑、及水。根據又另一具體例,套組包括用於在製造點或使用時與氧化來源及水結合的至少一胺-N-氧化物、至少一有機共溶劑、至少一緩衝劑、至少一金屬鉗合劑、及水。根據又另一具體例,套組包括用於在製造點或使用時與氧化來源結合的至少一胺-N-氧化物、至少一有機共溶劑、至少一緩衝劑、至少一金屬鉗合劑、及水。套組之容器必需適用於儲存及運送該清潔組成物成分,例如,NOWPak容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。
當應用至微電子製造操作時,本發明之氧化水性清潔組成物可有效用於自微電子裝置之表面清潔電漿蝕刻後殘餘物及/或含鈦硬光罩,且可在施用經調配於自裝置之表面移除另類材料的其他組成物之前或之後施用至該表面。舉例來說,可在施用本發明之清潔組成物之前或之後施用優先移除含銅殘餘物的組成物。應注意本發明之清潔組成物不會損壞裝置表面上之ILD材料,且其較佳在移除處理之前移除至少90%之存在於裝置上的殘餘物及/或硬光罩,至少95%更佳,及至少99%最佳。
在電漿蝕刻後清潔及/或含鈦硬光罩移除應用中,氧化水性清潔組成物係以任何適當的方式施用至待清潔之裝置,例如,經由將氧化水性清潔組成物噴塗於待清潔裝置之表面上,經由將待清潔裝置浸泡於靜態或動態體積的氧化水性清潔組成物中,經由使待清潔裝置與其上經吸收氧化水性清潔組成物之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,或藉由任何其他藉以使氧化水性清潔組成物與待清潔裝置進行移除接觸之適當的手段、方式或技術。此外,此處涵蓋批式或單一晶圓處理。
在使用本發明之組成物於自其上具有電漿蝕刻後殘餘物之微電子裝置移除該材料時,典型上使氧化水性清潔組成物與裝置在自約25℃至約70℃範圍內之溫度下(較佳約30℃至約60℃)接觸自約1分鐘至約60分鐘之時間。此等接觸時間及溫度係為說明性,在本發明之廣泛實行中,可使用任何其他可有效地自裝置至少部分移除蝕刻後殘餘物材料及/或硬光罩層的適當時間及溫度條件。殘餘物材料及/或硬光罩層自微電子裝置之「至少部分移除」係相當於移除至少90%的材料,移除至少95%較佳。使用本發明之組成物移除至少99%的該殘餘物材料及/或硬光罩層最佳。
於達成期望的移除作用後,可視在本發明組成物之指定最終應用中所期望及效力,而將氧化水性清潔組成物(其較佳可與水相混溶)自其先前經施用之裝置輕易地移除,例如,藉由沖洗、洗滌、或其他移除步驟。舉例來說,裝置可以包含去離子水的沖洗溶液沖洗及/或乾燥(例如,旋轉乾燥、N2 、蒸氣乾燥等等)。
本發明之另一態樣係關於一種自微電子裝置之表面移除電漿蝕刻後殘餘物材料的兩步驟方法。第一步驟包括使本發明之氧化水性清潔組成物與裝置在溫度自約25℃至約70℃範圍內(較佳約30℃至約60℃)接觸自約1分鐘至約60分鐘之時間。其後使裝置與稀氫氟酸組成物在溫度約20℃至約25℃範圍內接觸15秒至約60秒。稀氫氟酸組成物可具有在約100:1至約1000:1(水對HF)範圍內之稀釋,較佳約400:1至約600:1。裝置在與氧化水性清潔組成物接觸之後及在與稀HF接觸之前,較佳以沖洗組成物(例如,去離子水)沖洗。
本發明之又另一態樣係關於根據本發明之方法所製得之經改良的微電子裝置及包含此種微電子裝置之產品。
本發明之又再一態樣係關於製造包括微電子裝置之物件的方法,該方法包括使微電子裝置與氧化水性清潔組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘餘物及/或含鈦硬光罩之微電子裝置清潔該殘餘物及/或材料,及將該微電子裝置併入至該物件中,其中該氧化水性清潔組成物包含至少一氧化劑,至少一氧化劑安定劑,其包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,視需要之至少一有機共溶劑,視需要之至少一金屬鉗合劑,視需要之至少一緩衝物種,及水。
在又另一具體例中,可將本發明之氧化水性清潔組成物利用於微電子裝置製程的其他態樣中,即於電漿蝕刻後殘餘物清潔步驟之後。舉例來說,可將氧化水性清潔組成物稀釋,及使用作為化學機械拋光(CMP)後清潔。或者,可使用本發明之氧化水性清潔組成物於自光罩材料移除污染物質以將其再利用。
在又另一具體例中,可將本發明之清潔組成物與研磨材料結合並使用作為步驟II CMP漿液。步驟II CMP漿液典型上具有相對於銅及介電材料之移除速率的高障壁材料移除速率。舉例來說,可將研磨材料添加至本發明之清潔組成物(以產生清潔組成物漿液),並使用於其上具有鎢及Ti/TiN障壁層材料之微電子裝置的步驟II CMP。如微電子裝置包含銅材料,則於平坦化製程期間將銅抑制物種添加至清潔組成物漿液以保護銅較佳。此處涵蓋的磨料包括矽石、氧化鋁、氧化鈰及其混合物。此處涵蓋的抑制劑包括咪唑、胺基四唑、苯并三唑、苯并咪唑、1,2,4-三唑、2-巰基苯并咪唑(MBI)、胺基、亞胺基、羧基、巰基、硝基、烷基、脲及硫脲化合物、草酸、丙二酸、琥珀酸、氮基三乙酸、亞胺二乙酸、及其組合。
本發明之特徵及優點由以下的非限制性實施例作更完整說明,其中除非另作明確說明,否則所有份數及百分比係以重量計。
(實施例1)
測定毯覆氮化鈦及物理氣相沈積(PVD)銅樣品於配方A-D中之蝕刻速率。測量矽晶圓上之TiN及PVD Cu塗層在指定溫度下於配方A-D中浸泡60分鐘之前及之後的厚度。厚度係使用4點探針測量法測定,藉此使組成物之電阻率與殘餘薄膜之厚度相關連,且由其計算得蝕刻速率。將實驗的蝕刻速率記述於表1。
可看到在20℃下,配方A具有最有利的TiN對Cu蝕刻選擇性。此外,TiN之蝕刻速率隨溫度增加而增加。
(實施例2)
對由位於矽晶圓上之具2.5之標稱k值之3500埃多孔性CDO均勻塗層所組成之低k介電材料之樣品評估其於配方E中在40℃下浸泡10分鐘之前及之後的厚度及折射率。厚度及折射率係使用分光橢圓對稱(ellipsometry)測量。結果示於下表2。
可看到於將多孔性CDO浸泡於配方E中後,厚度及折射率皆未顯著地變化。此顯示CDO實質上未經蝕刻,其指示介電常數的變化可以忽略。
參照圖2,其係多孔性CDO樣品於在配方E中浸泡前後的傅利葉變換紅外(FTIR)光譜圖。可看到未偵測到經由將一光譜減去另一光譜(乘以10倍)而得之差值光譜的顯著變化,顯示多孔性CDO未被配方E損傷。
使用配方E評估試驗樣品的清潔。試驗樣品係由於具2.5之標稱k值之多孔性低k CDO介電質中圖案化之通道及溝渠結構所組成。使位於銅金屬上方之低k介電質於通道底部暴露。介電質經位於400埃氮化矽或氧氮化矽硬光罩上方之100埃TiN層覆蓋。TiN層上存在含鈦蝕刻後殘餘物。藉由於配方E中在40℃下靜態浸泡15分鐘而清潔此件試驗樣品,然後再以水沖洗。藉由掃描電子顯微術(SEM)評估顯示含鈦殘餘物及氮化鈦硬光罩層經完全移除,且介電材料沒有可觀察到的變化或損傷或銅層的侵蝕。
(實施例3)
藉由監測在40℃下之過氧化氫濃度,而將配方E之浴液壽命與配方F之浴液壽命作比較。對稀釋於稀硫酸中之溶液等份測量相對H2 O2 濃度。以於稀硫酸中之約7.5 w/v %水合硫酸銨鈰(IV)之溶液滴定經稀釋的等份。由達到終點所需之鈰(IV)溶液相對於在零老化時間下所需之體積的體積比測得相對H2 O2 濃度。浴液壽命比較之結果示於圖3。可看到配方E雖然係用於選擇性且有效地移除含鈦電漿蝕刻後殘餘物之具有潛力的候選者,但其在少於50分鐘內經歷約50%的H2 O2 分解,因而使該配方對殘餘物材料之效力隨時間而減小。相對地,包含NMMO之配方F在多於約48小時內所經歷的分解係可忽略。
(實施例4)
測定毯覆氮化鈦及物理氣相沈積(PVD)銅樣品於配方F-H中之蝕刻速率。測量具有1000埃之各別材料塗層之矽晶圓在50℃下於如以上說明於實施例1中之配方F-H中浸泡15分鐘之前及之後的厚度。將實驗的蝕刻速率記述於表3。
可看到在50℃下,包含NMMO之配方G具有最有利的TiN對Cu蝕刻選擇性。因此,藉由靜態浸泡樣品清潔如實施例2中所述之蝕刻後雙重鑲嵌樣品,及利用SEM測定清潔程度,其顯示TiN經自裝置晶圓之頂表面及側壁完全移除。此外,ILD及銅互連材料未受損傷。
(實施例5)
使用配方O評估試驗樣品的清潔。試驗樣品係由於具2.5之標稱k值之多孔性低kCDO介電質中圖案化之通道及溝渠結構所組成。使位於銅金屬上方之低k介電質於通道底部暴露。介電質經位於400埃氮化矽或氧氮化矽硬光罩上方之100埃TiN層覆蓋。TiN層上存在含鈦蝕刻後殘餘物。藉由於配方O中在55℃下靜態浸泡6分鐘而清潔此件試驗樣品,然後再以水沖洗。藉由掃描電子顯微術(SEM)評估顯示含鈦殘餘物、聚合側壁殘餘物及TiN硬光罩材料經完全移除,且介電材料沒有可觀察到的變化或損傷,然而,未觀察到含銅殘餘物(例如,CuO)自通道底部的移除。
為促進含銅殘餘物的移除,將不同量的緩衝物種添加至配方O。將測試的配方列舉於表4。
如表4所記述,測定毯覆PVD銅樣品的蝕刻速率,其中測量矽晶圓上之PVD Cu塗層於在配方O-1至O-9中在50℃下浸泡60分鐘前後的厚度。厚度係使用4點探針測量法測定,藉此使組成物之電阻率與殘餘薄膜之厚度相關連,且由其計算得蝕刻速率。將實驗的蝕刻速率說明於圖4。
於圖4中可看到銅蝕刻速率僅與組成物之pH有關,而與緩衝劑之量無關。因此,選擇組成物之pH,以致銅之蝕刻速率不多於10埃/分鐘。
藉由於配方I中在55℃下靜態浸泡4分鐘而清潔前述的試驗樣品,然後再以水沖洗。如先前所引述,不含緩衝物種的配方O不會自試驗樣品之通道底部移除含銅殘餘物。相對地,包含緩衝物種的配方I於將試驗樣品浸泡於配方中後將TiN硬光罩及含銅殘餘物完全移除。
於將商品名BLACK DIAMOND(BD2)之具約2.5之k值之多孔性經摻雜碳之氧化物(CDO)介電質之樣品在配方O及配方I中在55℃下浸泡5分鐘後,測定毯覆BD2之清潔後電容。電容係使用具有阻抗分析儀的汞探針工具測定。結果係對各晶圓件以五次測量的平均值記述。將電容實驗的結果示於圖5。可看到BD2晶圓之電容於在配方O或配方I中浸泡之後並未實質上地增加,僅於實驗誤差內具有小的增加。圖5中亦說明tan δ之變化,其係介電質中耗散損耗的量度,其亦係在實驗誤差內。
(實施例6)
使用配方J及P評估試驗樣品的清潔。試驗樣品係由於具2.5之標稱k值之多孔性低k CDO介電質中圖案化之通道及溝渠結構所組成。使位於銅金屬上方之低k介電質於通道底部暴露。介電質經位於400埃氮化矽或氧氮化矽硬光罩上方之100埃TiN層覆蓋。TiN層上存在含鈦蝕刻後殘餘物。
藉由於配方P中在60℃下靜態浸泡6分鐘而清潔一件試驗樣品,然後再以水沖洗。藉由掃描電子顯微術(SEM)評估顯示表面殘餘物、聚合側壁殘餘物經完全移除,含銅殘餘物經部分移除,且有少量的TiN硬光罩蝕刻。如先前所論述,若希望不要將TiN硬光罩移除,則配方較佳於配方P中包含少量的過氧化物(例如,約1重量%)。
亦藉由於配方J中在40℃或50℃下靜態浸泡1.5至4.5分鐘而清潔此件試驗樣品,然後再以水沖洗。藉由掃描電子顯微術(SEM)評估於配方J中在40℃下清潔4.5分鐘之樣品顯示表面殘餘物幾乎被完全移除,聚合側壁殘餘物經完全移除,含銅殘餘物經部分移除,且沒有TiN硬光罩蝕刻。藉由掃描電子顯微術(SEM)評估於配方J中在50℃下清潔3.0分鐘之樣品顯示表面殘餘物幾乎被完全移除,聚合側壁殘餘物經完全移除,含銅殘餘物經完全移除,且沒有TiN硬光罩蝕刻。此外,未觀察到銅互連體的損傷。
(實施例7)
如圖6所說明,分析配方S之變型,以測定H2 O2 於60℃下隨時間之分解速率,與僅於水溶液中包含1% H2 O2 之對照樣品的比較。配方變型包括配方S、配方S加上Cu(NO3 )2 、配方S加上Cu(C2 H3 O2 )2 、配方S減去CDTA、配方S減去CDTA加上Cu(NO3 )2 、及配方S減去CDTA加上Cu(C2 H3 O2 )2 。銅(II)鹽之濃度範圍係自1 ppm至10 ppm。在配方S不含CDTA的實驗中,配方亦不含硼酸,而係存在TAZ及檸檬酸作為替代。
於圖6中可看到配方中存在CDTA使配方的浴液壽命延長至至少24小時,即使係在Cu2 源諸如Cu(NO3 )2 及Cu(C2 H3 O2 )2 之存在下亦然。若無CDTA,則組成物會立即開始經歷實質的分解。因此,存在CDTA使含氧化劑之浴液安定,因此而延長該浴液的壽命。
參照圖7,可看到含氧化劑之浴液的安定性進一步受到浴液的pH所影響。比較圖6中說明之結果與圖7中說明之結果,可看到當CDTA為金屬鉗合劑及硼酸為其中之一種緩衝物種時的理想pH範圍係約7.5至約8.5,而當TAZ為金屬鉗合劑及檸檬酸為其中之一種緩衝物種時的理想pH範圍係約6至約6.5。因此,緩衝物種對於將pH維持在此有用範圍內為較佳。
(實施例8)
使用配方S評估試驗樣品的清潔。試驗樣品係由於具2.5之標稱k值之多孔性低k CDO介電質中圖案化之通道及溝渠結構所組成。使位於銅金屬上方之低k介電質於通道底部暴露。介電質經位於400埃氮化矽或氧氮化矽硬光罩上方之100埃TiN層覆蓋。TiN層上存在含鈦蝕刻後殘餘物。藉由於配方S中在50℃下靜態浸泡5分鐘而清潔此件試驗樣品,然後再以水沖洗。藉由掃描電子顯微術(SEM)評估顯示含鈦殘餘物經自TiN層完全移除,含銅殘餘物(例如,CuO)自通道底部部分移除,且介電材料或TiN層沒有可觀察到的變化或損傷。
接著使用兩步驟方法評估相同試驗樣品的清潔-第一步驟包括於配方S中在50℃下靜態浸泡4分鐘,及第二步驟包括於稀氫氟酸溶液(400:1水:HF)中在22℃下靜態浸泡1分鐘。於步驟之間以去離子水沖洗樣品。SEM顯示含鈦殘餘物經自TiN層完全移除,含銅殘餘物自通道底部完全移除,且介電材料或TiN層沒有可觀察到的變化或損傷。應注意包括以稀HF(400:1水:HF)於22℃下單一步驟清潔相同晶圓1分鐘的個別實驗顯示不規則的銅蝕刻損耗。因此,當將含鈦殘餘物及含銅殘餘物兩者自通道底部實質上地移除為較佳時,兩步驟方法有利。
此外,應注意配方中之增加量的氧化劑(例如,2重量% H2 O2 而非1重量% H2 O2 )僅使TiN之蝕刻速率增加,而未導致含鈦蝕刻後殘餘物之更有效率的清潔。此外,殘餘的TiN層當暴露至具較高H2 O2 濃度之配方時具有較大的表面糙度。
測定BD2樣品之清潔後電容:(1)於將毯覆BD2於配方S中在50℃下浸泡5分鐘後,及(2)於將毯覆BD2於配方S中在50℃下浸泡5分鐘,隨後再在稀HF(400:1)中於22℃下浸泡1分鐘後。電容係使用具有阻抗分析儀的汞探針工具測定。經測得配方S可與低k介電層相容。
(實施例9)
測量於將毯覆PVD Cu晶圓在下述條件下浸泡後之Cu晶圓的蝕刻速率:(1)於配方S中在50℃下,或(2)於不同稀釋(100:1、200:1、300:1、400:1、500:1、600:1及800:1)之稀HF中在22℃下。經測得於浸泡於配方S中後之銅的蝕刻速率係約2.6埃/分鐘,及於浸泡於稀HF中後之銅的蝕刻速率係約3-4埃/分鐘,且應注意其與稀釋程度並無強烈關係。
(實施例10)
使用配方S使用兩步驟方法評估試驗樣品的清潔-第一步驟包括於配方S中在50℃下靜態浸泡5分鐘,及第二步驟包括於稀氫氟酸溶液(600:1水:HF)中在22℃下靜態浸泡0、15、30、45、及60秒。試驗樣品係由於具2.5之標稱k值之多孔性低kCDO介電質中圖案化之通道及溝渠結構所組成。使位於銅金屬上方之低k介電質於通道底部暴露。介電質經位於400埃氮化矽或氧氮化矽硬光罩上方之100埃TiN層覆蓋。TiN層上存在含鈦蝕刻後殘餘物。藉由掃描電子顯微術(SEM)評估顯示含鈦殘餘物在各情況中經自TiN層完全移除。當第二步驟沖洗為15或30秒時,銅係乾淨且無任何可觀察得的底部切割(undercut),而當第二步驟沖洗為45或60秒時,銅係乾淨,然而,尤其在60秒下觀察到一些底部切割。因此,推論30秒的稀HF清潔足以清潔銅殘餘物而無底部切割。
雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭上文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士基於文中之揭示內容當可明白其他的變化、修改及其他具體例。因此,應將本發明廣義地解釋為涵蓋所有在記述於後文之申請專利範圍之精神及範疇內的此等變化、修改及其他具體例。
圖1A係說明於電漿蝕刻處理後之微電子裝置,其中超低k介電質之側壁包含聚合殘餘物,且銅通道(或線路)包含位於其上的含銅殘餘物。
圖1B係說明於使用本發明之氧化水性清潔組成物清潔後之圖1A的微電子裝置,其中聚合殘餘物、含銅殘餘物、及TiN硬光罩已經移除。
圖2係毯覆多孔性-CDO晶圓於利用本發明之配方E清潔晶圓前後的FTIR光譜圖。
圖3係說明存在於本發明之配方E及F中之過氧化氫之百分比成時間對數的函數。
圖4係說明PVD沈積銅之蝕刻速率(單位埃/分鐘)成經緩衝清潔組成物之pH的函數。
圖5係說明BD2對照晶圓之電容相對於在配方O及I中在55℃下浸泡5分鐘後之BD2對照晶圓的電容。
圖6係說明本發明之配方S之所存在之過氧化氫之百分比變化成時間的函數。
圖7說明本發明之配方S的pH變化成時間的函數。

Claims (36)

  1. 一種水性清潔組成物,其以組成物之總重量計,包含0.5重量%至20重量%之至少一氧化劑,1重量%至25重量%之至少一包括選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,及50重量%至99重量%的水,其中該水性清潔組成物具有pH於6至8之範圍內,且其中該水性清潔組成物係適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或硬光罩。
  2. 如申請專利範圍第1項之水性清潔組成物,其中,該電漿蝕刻後殘餘物包括選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、及其組合所組成之群之殘餘物。
  3. 如申請專利範圍第2項之水性清潔組成物,其中,該電漿蝕刻後殘餘物進一步包括選自由碳、氮、矽、氧及鹵素所組成之群之物種。
  4. 如申請專利範圍第1項之水性清潔組成物,其中,該硬光罩材料包含氮化鈦。
  5. 如申請專利範圍第1項之水性清潔組成物,其中,該至少一氧化劑包含過氧化氫。
  6. 如申請專利範圍第1項之水性清潔組成物,其中,該至少一胺物種係選自由下列所組成之群:單乙醇胺、胺基乙氧乙醇、單異丙醇胺、異丁醇胺、甲基乙醇胺、N-甲基胺基乙醇、二乙醇胺、三乙醇胺、甲基二乙醇胺、三乙胺、N,N-二甲基甘醇胺、N,N-二甲基二甘醇胺、五甲基二伸乙 三胺、N-甲基啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、其之經取代衍生物及組合。
  7. 如申請專利範圍第1項之水性清潔組成物,其中,該至少一胺包含胺-N-氧化物。
  8. 如申請專利範圍第1項之水性清潔組成物,其中,該至少一胺包含N-甲基啉-N-氧化物。
  9. 如申請專利範圍第1項之水性清潔組成物,其包含過氧化氫及至少一胺-N-氧化物。
  10. 如申請專利範圍第1項之水性清潔組成物,其進一步包含至多約1重量%之至少一金屬鉗合劑,其中該金屬鉗合劑包括選自由下列所組成之群之化合物:1,2,4-三唑(TAZ)、苯并三唑、甲苯三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑、萘并三唑、2-巰基苯并咪唑、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、5-胺基-1,3,4-噻二唑-2-硫醇、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、巰基苯并噻唑、咪 唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲二唑、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、及其之組合。
  11. 如申請專利範圍第1項之水性清潔組成物,其進一步包含至多約25重量%之至少一有機共溶劑,其中該有機共溶劑包括選自由下列所組成之群之物種:乙二醇、丙二醇(PG)、新戊二醇、1,3-丙二醇、二甘醇、二丙二醇、甘油、甲醯胺、乙醯胺、高碳數醯胺、N-甲基吡咯啶酮(MMP)、N,N-二甲基甲醯胺、N,N-二甲基乙醯胺、四氫噻吩碸、二甲亞碸(DMSO)、γ-丁內酯、碳酸丙烯酯、二甘醇單甲基醚、三甘醇單甲基醚、二甘醇單乙基醚、三甘醇單乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、二甘醇單丁基醚、三甘醇單丁基醚、乙二醇單己基醚、二甘醇單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其之組合。
  12. 如申請專利範圍第1項之水性清潔組成物,其進一步包含二甘醇單丁基醚。
  13. 如申請專利範圍第1項之水性清潔組成物,其進一步包含至多約5重量%之至少一緩衝物種,其中該緩衝物種包含四烷基銨陽離子化合物及酸陰離子化合物,其中該四烷基銨陽離子化合物包括由化學式[NR1 R2 R3 R4 ]+ 所表示 之四烷基銨陽離子,其中R1 、R2 、R3 及R4 可彼此相同或不同,且係選自由直鏈C1 -C6 烷基、分支鏈C1 -C6 烷基、經取代之C6 -C10 芳基、未經取代之C6 -C10 芳基、及其組合所組成之群,及其中該酸陰離子化合物係選自由下列化合物所組成之群:乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、檸檬酸、酞酸、硼酸、及前述酸之組合。
  14. 如申請專利範圍第13項之水性清潔組成物,其包含檸檬酸之四烷基銨鹽。
  15. 如申請專利範圍第13項之水性清潔組成物,其包含硼酸之四烷基銨鹽。
  16. 如申請專利範圍第1項之水性清潔組成物,其進一步包含至多約25重量%之至少一有機共溶劑、至多約1重量%之至少一金屬鉗合劑、及至多約5重量%之至少一緩衝物種,其中該水性清潔組成物包含過氧化氫、至少一胺-N-氧化物、二甘醇丁基醚、1,2,4-三唑、氫氧化四甲銨及檸檬酸。
  17. 如申請專利範圍第1項之水性清潔組成物,其進一步包含至多約25重量%之至少一有機共溶劑、至多約1重量%之至少一金屬鉗合劑、及至多約5重量%之至少一緩衝物種,其中該水性清潔組成物包含過氧化氫、至少一胺-N-氧化物、二甘醇丁基醚、1,2-環己烷二胺-N,N,N’,N’-四乙酸、氫氧化四甲銨及硼酸。
  18. 如申請專利範圍第1項之水性清潔組成物,其中, 該微電子裝置包括選自由半導體基板、平面顯示器、及微機電系統(MEMS)所組成之群之物件。
  19. 如申請專利範圍第5項之水性清潔組成物,其中,過氧化氫於靜態清潔組成物中,在50℃下24小時後的累積分解低於5%。
  20. 如申請專利範圍第1項之水性清潔組成物,其中,該水性組成物不含選自由矽石、氧化鋁、氧化鈰及其組合所組成之群之研磨材料、氨及強鹼。
  21. 一種套組,其包括容納於一或多個容器中之用於形成水性清潔組成物的一或多種以下試劑,該一或多種試劑係選自由下列成分所組成之群:以組成物之總重量計,0.5重量%至20重量%之至少一氧化劑,1重量%至25重量%之至少一選自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,及50重量%至99重量%的水,其中該水性清潔組成物具有pH於6至8之範圍內,且其中該套組係適於形成一適用於自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上清潔該殘餘物及/或材料之水性清潔組成物。
  22. 一種自具有電漿蝕刻後殘餘物及/或硬光罩材料之微電子裝置上移除該殘餘物及/或硬光罩之方法,該方法包括使微電子裝置與一水性清潔組成物接觸以自微電子裝置至少部分移除該殘餘物及/或硬光罩的時間,其中該水性清潔組成物以組成物之總重量計,包含0.5重量%至20重量%之至少一氧化劑,1重量%至25重量%之至少一選 自由第一胺、第二胺、第三胺及胺-N-氧化物所組成之群之胺物種,及50重量%至99重量%的水,其中該水性清潔組成物具有pH於6至8之範圍內。
  23. 如申請專利範圍第22項之方法,其中,該電漿蝕刻後殘餘物包括選自由含鈦殘餘物、聚合殘餘物、含銅殘餘物、及其組合所組成之群之殘餘物。
  24. 如申請專利範圍第22項之方法,其中,該硬光罩材料包含氮化鈦。
  25. 如申請專利範圍第22項之方法,其中,該接觸係進行1分鐘至60分鐘之時間。
  26. 如申請專利範圍第22項之方法,其中,該接觸係在溫度30℃至50℃之範圍內進行。
  27. 如申請專利範圍第22項之方法,其中,該至少一氧化劑包含過氧化氫;及其中該至少一胺物種係選自由下列所組成之群:單乙醇胺、胺基乙氧乙醇、單異丙醇胺、異丁醇胺、甲基乙醇胺、N-甲基胺基乙醇、二乙醇胺、三乙醇胺、甲基二乙醇胺、三乙胺、N,N-二甲基甘醇胺、N,N-二甲基二甘醇胺、五甲基二伸乙三胺、N-甲基啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、及其之經取代衍生物及組合。
  28. 如申請專利範圍第22項之方法,其中,該水性清潔組成物進一步包含至多1重量%之至少一選自由下列所組 成之群之金屬鉗合劑:1,2,4-三唑(TAZ)、苯并三唑、甲苯三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基-苯并三唑、萘并三唑、2-巰基苯并咪唑、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、5-胺基-1,3,4-噻二唑-2-硫醇、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、巰基苯并噻唑、咪唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-胺基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲二唑、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、及其之組合。
  29. 如申請專利範圍第22項之方法,其中,該水性清潔組成物進一步包含至多25重量%之至少一選自由下列所組成之群之有機共溶劑:乙二醇、丙二醇(PG)、新戊二醇、1,3-丙二醇、二甘醇、二丙二醇、甘油、甲醯胺、乙醯胺、高碳數醯胺、N-甲基吡咯啶酮(NMP)、N,N-二甲基甲醯胺、N,N-二甲基乙醯胺、四氫噻吩碸、二甲亞碸(DMSO)、γ-丁內酯、碳酸丙烯酯、二甘醇單甲基醚、三甘醇單甲基醚、二甘醇單乙基醚、三甘醇單乙基醚、乙二醇單丙基醚、乙 二醇單丁基醚、二甘醇單丁基醚、三甘醇單丁基醚、乙二醇單己基醚、二甘醇單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其之組合。
  30. 如申請專利範圍第22項之方法,其中,該水性清潔組成物進一步包含至多5重量%之至少一緩衝物種,其中該緩衝物種包含四烷基銨陽離子化合物及酸陰離子化合物,其中該四烷基銨陽離子化合物包括由化學式[NR1 R2 R3 R4 ]+ 所表示之四烷基銨陽離子,其中R1 、R2 、R3 及R4 可彼此相同或不同,且係選自由直鏈C1 -C6 烷基、分支鏈C1 -C6 烷基、經取代之C6 -C10 芳基、未經取代之C6 -C10 芳基、及其組合所組成之群,及其中該酸陰離子化合物係選自由下列化合物所組成之群:乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、檸檬酸、酞酸、硼酸、及前述酸之組合。
  31. 如申請專利範圍第22項之方法,其中,該微電子裝置係選自由半導體基板、平面顯示器、及微機電系統(MEMS)所組成之群之物件。
  32. 如申請專利範圍第22項之方法,其中,該微電子裝置包括選自由含鈦層、層間介電質層、及其組合所組成之群的一層。
  33. 如申請專利範圍第32項之方法,其中,該含鈦層包含氮化鈦。
  34. 如申請專利範圍第22項之方法,其中,該接觸係包括選自由下列所組成之群之方法:將水性組成物噴塗於微電子裝置之表面上;將微電子裝置浸泡於足夠體積的水性組成物中;使微電子裝置之表面與經水性組成物飽和之另一材料接觸;及使微電子裝置與循環的水性組成物接觸。
  35. 如申請專利範圍第22項之方法,其進一步包括在與水性組成物接觸之後,以去離子水沖洗微電子裝置。
  36. 如申請專利範圍第22項之方法,其進一步包括使微電子裝置與稀氫氟酸接觸。
TW095137110A 2005-10-05 2006-10-05 移除蝕刻後殘餘之氧化水性清潔劑 TWI428442B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US72377605P 2005-10-05 2005-10-05
US74525106P 2006-04-20 2006-04-20
US74531606P 2006-04-21 2006-04-21

Publications (2)

Publication Number Publication Date
TW200730621A TW200730621A (en) 2007-08-16
TWI428442B true TWI428442B (zh) 2014-03-01

Family

ID=37943128

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095137110A TWI428442B (zh) 2005-10-05 2006-10-05 移除蝕刻後殘餘之氧化水性清潔劑

Country Status (8)

Country Link
US (3) US7922824B2 (zh)
EP (1) EP1932174A4 (zh)
JP (1) JP2009512194A (zh)
KR (1) KR101444468B1 (zh)
CN (1) CN101366107B (zh)
SG (1) SG10201508243UA (zh)
TW (1) TWI428442B (zh)
WO (1) WO2007044446A1 (zh)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20100051066A1 (en) * 2005-12-20 2010-03-04 Eiko Kuwabara Composition for removing residue from wiring board and cleaning method
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
DE102006053890A1 (de) * 2006-11-14 2008-05-21 Eads Deutschland Gmbh Kampfstoff-Detektor zur Detektion chemischer Kampfstoffe, Herstellungsverfahren und Verwendung eines Substrats als Kampfstoff-Detektor
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20080224092A1 (en) * 2007-03-15 2008-09-18 Samsung Electronics Co., Ltd. Etchant for metal
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
US8623236B2 (en) * 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
US8183160B2 (en) 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
KR101569338B1 (ko) 2007-11-13 2015-11-17 사켐,인코포레이티드 손상 없이 반도체를 습식 세척하기 위한 높은 네거티브 제타 전위 다면체 실세스퀴옥산 조성물과 방법
KR20100082012A (ko) * 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 반도체 기판으로부터의 금속 하드 마스크 에칭 잔류물의 제거를 위한 조성물
JP5286290B2 (ja) 2008-02-15 2013-09-11 ライオン株式会社 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
TWI480104B (zh) * 2008-04-24 2015-04-11 Graco Minnesota Inc 快速固定性材料噴灑設備之清潔方法
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
CN102197124B (zh) * 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
CN101750912A (zh) * 2008-11-28 2010-06-23 安集微电子(上海)有限公司 一种光刻胶清洗剂组合物
KR101538386B1 (ko) * 2008-12-26 2015-07-22 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
TW201103977A (en) * 2009-04-30 2011-02-01 Lion Corp Method for cleaning semiconductor substrate and acid solution
KR20130028059A (ko) * 2010-03-05 2013-03-18 램 리써치 코포레이션 다마신 프로세스들의 측벽 폴리머에 대한 세정 용액
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
CN102220133B (zh) * 2010-04-19 2014-02-12 深圳富泰宏精密工业有限公司 碳化钛和/或氮化钛膜层的退除液及退除方法
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP5567926B2 (ja) * 2010-07-29 2014-08-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TWI558818B (zh) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
DE102010054866A1 (de) * 2010-12-17 2011-08-18 Clariant International Ltd. Zusammensetzungen enthaltend Wasserstoffperoxid oder Wasserstoffperoxid freisetzende Substanzen
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
KR20140012660A (ko) * 2011-03-11 2014-02-03 바스프 에스이 베이스 웨이퍼 관통 비아들을 형성하는 방법
JP5519728B2 (ja) * 2011-05-17 2014-06-11 富士フイルム株式会社 エッチング方法及びこれに用いられるエッチング液、これを用いた半導体素子の製造方法
JP5886946B2 (ja) * 2011-06-01 2016-03-16 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッドAvantor Performance Materials, Inc. 銅、タングステンおよび多孔質低κ誘電体に対する増強された相溶性を有する半水溶性ポリマー除去組成物
US9257270B2 (en) * 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103975052B (zh) * 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
CN105869997A (zh) * 2011-10-21 2016-08-17 安格斯公司 无胺cmp后组合物及其使用方法
WO2013086217A1 (en) 2011-12-06 2013-06-13 Masco Corporation Of Indiana Ozone distribution in a faucet
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9070625B2 (en) * 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US8835326B2 (en) 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
WO2013142250A1 (en) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CN102660198B (zh) * 2012-04-11 2013-10-16 南京航空航天大学 软脆易潮解晶体化学机械抛光用无水无磨料抛光液
KR20150016574A (ko) 2012-05-18 2015-02-12 인티그리스, 인코포레이티드 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
US9780008B2 (en) 2012-07-17 2017-10-03 Mitsui Chemicals, Inc. Semiconductor device, method for manufacturing the same, and rinsing liquid
JP5960099B2 (ja) 2012-07-20 2016-08-02 富士フイルム株式会社 エッチング方法及びこれを用いた半導体基板製品及び半導体素子の製造方法
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
KR102002131B1 (ko) 2012-08-03 2019-07-22 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 박막 트랜지스터 제조 방법
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN102978033B (zh) * 2012-12-25 2014-04-09 上海三瑞化学有限公司 一种橡胶地板翻新剂及其制备方法
CN103046052B (zh) * 2012-12-27 2016-01-20 广东山之风环保科技有限公司 环保型含钛膜层的退除液及其使用方法
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
CN105102584B (zh) 2013-03-04 2018-09-21 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
CN115595569A (zh) * 2013-03-16 2023-01-13 Prc-迪索托国际公司(Us) 作为缓蚀剂的唑类化合物
US8853095B1 (en) 2013-05-30 2014-10-07 International Business Machines Corporation Hybrid hard mask for damascene and dual damascene
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
KR102338526B1 (ko) * 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
JP6464578B2 (ja) * 2013-08-01 2019-02-06 三菱瓦斯化学株式会社 プリント配線板の製造方法
CN103416397A (zh) * 2013-08-19 2013-12-04 南通市通州区益君劳务有限公司 一种专用于清洁桉树树叶的喷雾及其制造方法
CN105492576B (zh) * 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015070168A1 (en) * 2013-11-11 2015-05-14 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal
US10828680B2 (en) 2013-11-11 2020-11-10 Tokyo Electron Limited System and method for enhanced removal of metal hardmask using ultra violet treatment
WO2015089023A1 (en) 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102330127B1 (ko) 2014-03-18 2021-11-23 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 에칭 조성물
KR102375342B1 (ko) * 2014-05-13 2022-03-16 바스프 에스이 Tin 풀-백 및 클리닝 조성물
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
US20170200601A1 (en) * 2014-06-30 2017-07-13 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
WO2016006456A1 (ja) * 2014-07-07 2016-01-14 富士フイルム株式会社 エッチング残渣除去組成物、これを用いるエッチング残渣の除去方法およびエッチング残渣除去キット、ならびに磁気抵抗メモリの製造方法
JP6460729B2 (ja) * 2014-10-31 2019-01-30 富士フイルム株式会社 基板処理方法、及び、半導体素子の製造方法
US10301580B2 (en) 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6429079B2 (ja) * 2015-02-12 2018-11-28 メック株式会社 エッチング液及びエッチング方法
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
CN105238589B (zh) * 2015-10-19 2018-07-06 大连碳氢氧环保科技有限公司 气雾罐型全能高效清洁剂及制造方法
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
CA3007437C (en) 2015-12-21 2021-09-28 Delta Faucet Company Fluid delivery system including a disinfectant device
US10157801B2 (en) * 2016-01-04 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting the cleanness of wafer after post-CMP cleaning
CN105755480B (zh) * 2016-05-17 2018-06-19 江苏筑磊电子科技有限公司 高效酸性清洗剂
TWI660017B (zh) * 2016-07-14 2019-05-21 卡博特微電子公司 用於鈷化學機械拋光(cmp)之替代氧化劑
CN106281789B (zh) * 2016-08-11 2018-10-26 江阴江化微电子材料股份有限公司 一种配线基板干刻后残渣清洗剂
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
WO2018098139A1 (en) * 2016-11-25 2018-05-31 Entegris, Inc. Cleaning compositions for removing post etch residue
CN107338442A (zh) * 2017-06-20 2017-11-10 祝国忠 处理铜及铜合金表面氧化层的洗剂及其处理方法
CN107384563A (zh) * 2017-07-20 2017-11-24 湖南省鎏源新能源有限责任公司 一种sh‑1型活化剂
CN107357143B (zh) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107338116B (zh) * 2017-07-25 2019-08-09 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
KR102372892B1 (ko) * 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법
WO2019044463A1 (ja) * 2017-08-31 2019-03-07 富士フイルム株式会社 処理液、キット、基板の洗浄方法
JP7383614B2 (ja) * 2017-12-08 2023-11-20 ビーエーエスエフ ソシエタス・ヨーロピア 低k値の材料、銅、および/またはコバルトの層の存在下で、アルミニウム化合物を含む層を選択的にエッチングするための組成物および方法
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
IL277738B2 (en) 2018-04-04 2023-09-01 Basf Se Preparations containing imidazolidinethione for removing residues after ash and/or for oxidative burning of a layer or mask containing tin
TWI791535B (zh) * 2018-06-11 2023-02-11 德商巴斯夫歐洲公司 可移除氮化鈦的蝕刻後殘渣清理溶液
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN111261508B (zh) 2018-09-26 2021-02-09 长江存储科技有限责任公司 3d nand存储器中存储沟道层的阶梯覆盖改进
CN110004451B (zh) * 2019-04-17 2020-12-08 上海丰野表面处理剂有限公司 一种环保型金属表面处理剂及其制备方法
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
JP2020202320A (ja) * 2019-06-12 2020-12-17 関東化学株式会社 過酸化水素分解抑制剤
CN114651317A (zh) 2019-09-10 2022-06-21 富士胶片电子材料美国有限公司 蚀刻组合物
JP6823819B1 (ja) * 2019-11-20 2021-02-03 パナソニックIpマネジメント株式会社 レジスト剥離液
CA3077837A1 (en) * 2020-04-03 2021-10-03 Fluid Energy Group Ltd. Hard surface cleaner
TWI789741B (zh) * 2020-04-14 2023-01-11 美商恩特葛瑞斯股份有限公司 蝕刻鉬之方法及組合物
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
JPWO2022071069A1 (zh) 2020-09-29 2022-04-07
CN112592775B (zh) * 2020-12-07 2021-10-12 湖北兴福电子材料有限公司 一种控挡片清洗液及清洗方法
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) * 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US6200910B1 (en) * 1996-06-25 2001-03-13 Texas Instruments Incorporated Selective titanium nitride strip
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
KR20010066769A (ko) * 1999-04-20 2001-07-11 가네꼬 히사시 세정액
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6417147B2 (en) * 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
EP1345848B1 (en) * 2000-12-22 2006-07-12 Interuniversitair Microelektronica Centrum ( Imec) Composition comprising an oxidizing and complexing compound
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US7419945B2 (en) * 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
KR101132533B1 (ko) * 2003-10-29 2012-04-03 아반토르 퍼포먼스 머티리얼스, 인크. 알칼리성, 플라즈마 에칭/애싱 후 잔류물 제거제 및금속-할라이드 부식 억제제를 함유한 포토레지스트스트리핑 조성물
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
JP4633785B2 (ja) * 2004-03-01 2011-02-16 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッド ナノエレクトロニクスおよびマイクロエレクトロニクスの洗浄組成物
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4440689B2 (ja) * 2004-03-31 2010-03-24 東友ファインケム株式会社 レジスト剥離剤組成物
US20060073997A1 (en) * 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US7922824B2 (en) 2005-10-05 2011-04-12 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues

Also Published As

Publication number Publication date
US8765654B2 (en) 2014-07-01
EP1932174A4 (en) 2009-09-23
SG10201508243UA (en) 2015-11-27
WO2007044446A1 (en) 2007-04-19
US9443713B2 (en) 2016-09-13
KR101444468B1 (ko) 2014-10-30
CN101366107A (zh) 2009-02-11
US20110186086A1 (en) 2011-08-04
US7922824B2 (en) 2011-04-12
KR20080064147A (ko) 2008-07-08
TW200730621A (en) 2007-08-16
US20150000697A1 (en) 2015-01-01
CN101366107B (zh) 2011-08-24
US20090215658A1 (en) 2009-08-27
EP1932174A1 (en) 2008-06-18
JP2009512194A (ja) 2009-03-19

Similar Documents

Publication Publication Date Title
TWI428442B (zh) 移除蝕刻後殘餘之氧化水性清潔劑
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
TWI622639B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
US6825156B2 (en) Semiconductor process residue removal composition and process
US8058219B2 (en) Metals compatible post-etch photoresist remover and/or sacrificial antireflective coating etchant
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
US7825079B2 (en) Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
US20040147420A1 (en) Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
CN110777381A (zh) 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20060014388A (ko) 반도체 공정에서의 에칭후 잔류물의 제거 방법
JP2023540253A (ja) 洗浄組成物