TWI428344B - 鈦錯合物及其製造方法、與含鈦薄膜及其製造方法 - Google Patents

鈦錯合物及其製造方法、與含鈦薄膜及其製造方法 Download PDF

Info

Publication number
TWI428344B
TWI428344B TW098120854A TW98120854A TWI428344B TW I428344 B TWI428344 B TW I428344B TW 098120854 A TW098120854 A TW 098120854A TW 98120854 A TW98120854 A TW 98120854A TW I428344 B TWI428344 B TW I428344B
Authority
TW
Taiwan
Prior art keywords
titanium
carbon atoms
alkyl group
bunchchn
producing
Prior art date
Application number
TW098120854A
Other languages
English (en)
Other versions
TW201000492A (en
Inventor
Kenichi Tada
Toshiki Yamamoto
Hirokazu Chiba
Kohei Iwanaga
Atsushi Maniwa
Tadahiro Yotsuya
Noriaki Oshima
Original Assignee
Tosoh Corp
Sagami Chem Res
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tosoh Corp, Sagami Chem Res filed Critical Tosoh Corp
Publication of TW201000492A publication Critical patent/TW201000492A/zh
Application granted granted Critical
Publication of TWI428344B publication Critical patent/TWI428344B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/06Silicon, titanium, zirconium or hafnium; Oxides or hydroxides thereof
    • B01J21/063Titanium; Oxides or hydroxides thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/18Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes containing nitrogen, phosphorus, arsenic or antimony as complexing atoms, e.g. in pyridine ligands, or in resonance therewith, e.g. in isocyanide ligands C=N-R or as complexed central atoms
    • B01J31/1805Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes containing nitrogen, phosphorus, arsenic or antimony as complexing atoms, e.g. in pyridine ligands, or in resonance therewith, e.g. in isocyanide ligands C=N-R or as complexed central atoms the ligands containing nitrogen
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J35/00Catalysts, in general, characterised by their form or physical properties
    • B01J35/30Catalysts, in general, characterised by their form or physical properties characterised by their physical properties
    • B01J35/39Photocatalytic properties
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C209/00Preparation of compounds containing amino groups bound to a carbon skeleton
    • C07C209/54Preparation of compounds containing amino groups bound to a carbon skeleton by rearrangement reactions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C209/00Preparation of compounds containing amino groups bound to a carbon skeleton
    • C07C209/66Preparation of compounds containing amino groups bound to a carbon skeleton from or via metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/65Metal complexes of amines
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/06Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
    • C07C251/08Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2531/00Additional information regarding catalytic systems classified in B01J31/00
    • B01J2531/40Complexes comprising metals of Group IV (IVA or IVB) as the central metal
    • B01J2531/46Titanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)

Description

鈦錯合物及其製造方法、與含鈦薄膜及其製造方法
本發明係關於作為半導體元件之製造原料為有用的鈦錯合物、其製造方法、含鈦薄膜及該薄膜之製造方法。
目前,為了促進隨機存取記憶體或快閃記憶體等半導體裝置之高性能化,要求將半導體元件高度密集化。為了達成半導體元件之高密集化,必需確立於微細三維構造體的表面形成均一厚度之薄膜的方法並實用化。作為如此方法的一有力候選者,將氣體之材料於基板上分解並使膜沉積之CVD法或使吸附於基板表面之材料分解並使膜沉積之原子層蒸鍍法(ALD法)受到重視,現在此等的實用化正在探討中。
利用CVD法或ALD法形成薄膜用的材料,係選擇具高蒸氣壓及熱安定性的物質。且,為了保持所形成薄膜之品質為一定,正確控制薄膜形成時之材料濃度係為重要。從此觀點,由於液體材料相較於固體材料較容易控制氣化速度,故作為薄膜形成用材料為較佳。
次世代以後的動態隨機存取記憶體(DRAM)的電容器介電體膜的材料,例如可舉氧化鈦及含鈦氧化物為候選者。又,含鈦氧化物亦作為非揮發性記憶體之強介電體膜之材料等的候選者。
用以利用CVD法或ALD法形成含鈦薄膜之材料,迄今已有四氯化鈦TiCl4 或四異丙氧鈦Ti(Oi Pr)4 等已被探討。
又,為了控制Ti(Oi pr)4 與水的反應性,已有數個導入螯合配位子的嘗試。例如合成(二異丙氧)(雙(2,2,6,6-四甲基庚二酮))鈦(Ti(Oi Pr)2 (THD)2 )、二異丙氧雙(第三丁基乙醯乙酸)鈦(Ti(Oi Pr)2 (tbaoac)2 )、雙(二甲基胺基乙氧)二異丙氧鈦(Ti(Oi Pr)2 (dmae)2 )、(二甲基胺基乙氧)三異丙氧鈦Ti(Oi pr)3 (dmae))等具螯合配位子之鈦化合物,作為CVD法或ALD法之材料的探討。(非專利文獻1、2、3及4)。
又,具醯胺配位子之鈦化合物亦有人探討作為利用CVD法或ALD法之薄膜形成材料。例如,有人探討肆(二甲基醯胺)鈦(Ti(NMe2 )4 )為材料利用CVD法或ALD法形成氮化鈦薄膜或氧化鈦薄膜等。(例如非專利文獻5及6)。具螯合配位子之醯胺鈦錯合物也有人探討作為薄膜形成用材料。例如專利文獻1記載的鈦錯合物。
又,於具乙烯-1,2-二基二醯胺(ethane-1,2-diyldiamide)配位子之點,已知具有與本發明之鈦錯合物為類似構造之芳氧錯合物。(非專利文獻7)
[先前技術文獻] [專利文獻]
專利文獻1:日本特開2007-153872號公報
[非專利文獻]
非專利文獻1:International Journal of Photoenergy,5卷,99頁,(2003年)非專利文獻2:Journal of Materials Chemistry,14卷,3231頁,(2004年)非專利文獻3:Bulletin of the Korean Chemical society,25卷,475頁,(2004年)非專利文獻4:Journal of Materials Chemistry,8卷,1773頁,(1998年)非專利文獻5:Journal of Vacuum Science & TechnologyB,24卷,1535頁,(2006年)非專利文獻6:Journal of The Electrochemical Society,152卷,G29頁(2005年)非專利文獻7:Journal of The American Chemical Society,109卷,6068頁(1987年)
TiCl4 於為了成膜需要高溫之點,或使用此等所形成之薄膜中混入氯之點等,有其問題。Ti(Oi pr)4 與水的反應性極高,容易與成膜使用之載流氣體或反應氣體中等所含微量水分反應。具結果,裝置內之配管內部形成之氧化鈦之微粉有堵塞裝置使生產性降低之虞。又,非專利文獻1、2、3及4記載之螯合配位鈦錯合物,有蒸氣壓低的缺點。又,Ti(NMe2 )4 對水的反應性極高,會與成膜使用之載流氣體、反應氣體中等所含微量水分反應,與Ti(Oi Pr)4 之情形同樣可能會堵塞裝置使生產性下降。
非專利文獻7記載之芳氧錯合物,於具有多數體積大的芳基之點,與本發明鈦錯合物不同。又,非專利文獻7記載之芳氧錯合物之合成方法,與本發明之製造方法不同。又,非專利文獻7完全沒有關於芳氧錯合物作為含鈦薄膜之材料使用的記述。
專利文獻1記載之鈦錯合物,雖然兼具適於CVD法或ALD法的材料的熱安定性及蒸氣壓,但近年來希望開發出較此等的熱安定性更高的材料。
本發明目的在於提供:具高蒸氣壓及高熱安定性,成為利用CVD法或ALD法等方法製造含鈦薄膜之優異材料的新穎鈦錯合物,該等錯合物之製造方法,使用該等錯合物製作之含鈦薄膜及該等薄膜之製造方法。
本案發明人等有鑑於上述現狀,努力探討,結果發現以通式(1)表示之鈦錯合物為可解決上述課題之優異化合物,並完成本發明。
亦即,本發明係以通式(1)表示之鈦錯合物。
(式中,R1 及R4 各自獨立,表示碳數1至16之烷基。R2 及R3 各自獨立,表示氫原子或碳數1至3之烷基。R5 為亦可經氟原子取代之碳數1至16之烷基)。
又,本發明係一種以通式(1)表示之鈦錯合物之製造方法,特徵在於:
使以通式(2)
(式中,R1 及R4 各自獨立,表示碳數1至16之烷基。R2 及R3 各自獨立,表示氫原子或碳數1至3之烷基。)表示之二亞胺、鹼金屬與以通式(3)表示之烷氧錯合物反應;
通式(3)
Ti(OR 5 ) 4
(式中,R5 表示可經氟原子取代之碳數1至16之烷基)。又,本發明係一種通式(1a)表示之鈦錯合物之製造方法,特徵在於:
使以通式(4)
(式中,R1a 及R4a 各自獨立,表示碳數1至6之烷基。R2a 及R3a 各自獨立,表示氫原子或碳數1至3之烷基。R7 及R8 各自獨立,表示亦可經氟原子取代之碳數1至4之烷基。)表示之醯胺錯合物與以通式(5)表示之醇反應;
通式(5)
R 5a OH(5)
(式中,R5a 表示亦可經氟原子取代之碳數1至16之烷基);
(式中,R1a 及R4a 各自獨立,表示碳數1至6之烷基。R2a 及R3a 各自獨立,表示氫原子或碳數1至3之烷基。R5a 表示亦可經氟原子取代之碳數1至16之烷基)。
又,本發明係一種含鈦薄膜,利用以通式(1)表示之鈦錯合物為材料製造。
又,本發明係關於一種含鈦薄膜之製法,特徵在於:使用以通式(1)表示之鈦錯合物作為材料。
又,本發明係一種半導體裝置,特徵在於使用上述含鈦薄膜。
又,本發明係一種光觸媒,特徵在於使用上述含鈦薄膜。
本發明之鈦錯合物(1),具良好氣化特性及優異的熱安定性,亦即具高蒸氣壓及高熱安定性,可利用該等為材料的CVD法或ALD法等方法製造含鈦薄膜。
(實施發明之形態)
以下更詳細說明本發明。
以R1 及R4 表示之碳數1至16之烷基,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基、庚基、環己基甲基、1,1-二乙基丙基、2-甲基環己基、4-甲基環己基、辛基、1,1-二乙基-2-甲基丙基、2,5-二甲基環己基、3,5-二甲基環己基、1,1,3,3-四甲基丁基、1-甲基-1-丙基丁基、1,1,2,3,3-五甲基丁基、1,1-二乙基-3,3-二甲基丁基、金剛基、1,1-二甲基辛基、l,1-二丙基丁基、1,1-二甲基癸基、1,1-二乙基辛基、1,1-二丁基戊基、1,1-二丁基己基、1,1-二丁基庚基或1,1-二戊基己基等。
以R2 及R3 表示之碳數1至3之烷基,例如:甲基、乙基、丙基、異丙基或環丙基。
以R5 表示之碳數1至16之烷基,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基、庚基、環己基甲基、1,1-二乙基丙基、2-甲基環己基、4-甲基環己基、辛基、1,1-二乙基-2-甲基丙基、2,5-二甲基環己基、3,5-二甲基環己基、1,1,3,3-四甲基丁基、1-甲基-1-丙基丁基、1,1,2,3,3-五甲基丁基、1,1-二乙基-3,3-二甲基丁基、金剛基、1,1-二甲基辛基、1,1-二丙基丁基、1,1-二甲基癸基、1,1-二乙基辛基、1,1-二丁基戊基、1,1-二丁基己基、1,1-二丁基庚基或1,1-二戊基己基等。
該等烷基亦可經氟原子取代,例如:三氟甲基、2,2,2-三氟乙基、全氟乙基、全氟丙基、全氟異丙基、全氟丁基、全氟第二丁基、全氟第三丁基、全氟己基、全氟庚基、全氟辛基、全氟壬基、全氟癸基、全氟十一基、全氟十二基、全氟十三基、全氟十四基、全氟十五基或全氟十六基等。
由具有良好蒸氣壓及優異熱安定性之觀點,鈦錯合物(1)中,R1 及R4 以各自獨立為碳數2至6之烷基較佳,例如:乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2二三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基較佳。R1 及R4 各自獨立為碳數4或5之烷基更佳,例如丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基或1-乙基丙基更佳。
又,R2 及R3 為氫原子較佳。
又,R5 為碳數1至8之烷基較佳,例如甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-甲基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基、庚基、環己基甲基、1,1-二乙基丙基、2-甲基環己基、4-甲基環己基、辛基、1,1-二乙基-2-甲基丙基、2,5-二甲基環己基、3,5-二甲基環己基、1,1,3,3-四甲基丁基或1-甲基-1-丙基丁基較佳。R5 為碳數3至5之烷基更佳,例如:丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基或1-乙基丙基更佳。
以通式(1)表示之鈦錯合物,由具良好蒸氣壓及優異的熱安定性的觀點,宜為乙烯-1,2-二基雙(異丙基醯胺)雙(第三戊氧)鈦(Ti(i PrNCHCHNi Pr)(Ot Pe)2 )、乙烯-1,2-二基雙(第三丁基醯胺)二乙氧鈦(Ti(t BuNCHCHNt Bu)(OEt)2 )、乙烯-1,2-二基雙(第三丁基醯胺)二異丙氧鈦(Ti(t BuNCHCHNt Bu)(Oi Pr)2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(第三戊氧)鈦(Ti(t BuNCHCHNt Bu)(Ot Pe)2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(1,1-二乙基丙氧)鈦(Ti(t BuNCHCHNt Bu)(OCEt3 )2 、乙烯-1,2-二基雙(第三丁基醯胺)雙(1,1-二乙基-2-甲基丙氧)鈦(Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(2,2,2-三氟乙氧)鈦(Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 )、乙烯-1,2-二基雙(第三戊基醯胺)二甲氧鈦(Ti(t PeNCHCHNt Pe)(OMe)2 )、乙烯-1,2-二基雙(第三戊基醯胺)二乙氧鈦(Ti(t PeNCHCHNt Pe)(OEt)2 )、乙烯-1,2-二基雙(第三戊基醯胺)二異丙氧鈦(Ti(t PeNCHCHNt Pe)(Oi Pr)2 )、乙烯-1,2-二基雙(第三戊基醯胺)二(第三丁氧)鈦(Ti(t PeNCHHNt Pe)(Ot Bu)2 )、或乙烯-1,2-二基雙(1,1,3,3-四甲基丁基醯胺)二異丙氧鈦(Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi Pr)2 )較佳。更佳為,乙烯-1,2-二基雙(第三丁基醯胺)二異丙氧鈦(Ti(t BuNCHCHNt Bu)(Oi Pr)2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(第三戊氧)鈦(Ti(t BuNCHCHNt Bu)(Ot Pe)2 )或乙烯-1,2-二基雙(第三戊基醯胺)二異丙氧鈦(Ti(t PeNCHCHNt Pe)(Oi Pr)2 )。
其次說明本發明之製造方法。製法1係一種製造本發明之鈦錯合物(1)之方法,係使二亞胺(2)與鹼金屬與烷氧錯合物(3)於有機溶劑中反應。
原料二亞胺(2),可參考既知方法(例如Journal of the American Chemical Society,120卷,12714頁,(1998年))合成。烷氧錯合物(3),除了可由市售試藥或工業原料取得,也可參考Journal of the American ChemicaI Society,46卷,256頁,(1924年),Inorganica Chimica Acta,52卷,79頁,(1981年),及Alkoxo and Aryloxo Derivatives of Metals,Academic Press,(2001年)合成。
已知藉由使二亞胺(2)與鹼金屬反應,可產生二亞胺之鹼金屬錯合物(例如Journal of the American Chemical Society,120卷,12714頁,(1998年))。本發明之製法1中,使二亞胺(2)、鹼金屬及烷氧錯合物(3)反應之順序不限,也可將例如另外製備並單離之二亞胺之鹼金屬錯合物與烷氧錯合物(3)反應。由步驟少、鈦錯合物(1)之產率良好的觀點,最初使二亞胺(2)與鹼金屬反應,接著使烷氧錯合物(3)反應較佳。
製法1使用之鹼金屬種類,由鈦錯合物(1)之產率良好的觀點,以鋰或鈉較佳。使用之鹼金屬量,由鈦錯合物(1)之產率良好之觀點,相對於二亞胺使用2當量以上較佳。製法1使用之有機溶劑,只要不與鹼金屬、二亞胺(2)、烷氧錯合物(3)或二亞胺(2)之鹼金屬錯合物反應者即可。例如戊烷、己烷、庚烷、辛烷、環己烷、甲基環己烷、乙基環己烷等脂烷類、苯、甲苯、二甲苯等芳香族烴類、二乙醚、二異丙醚、二丁醚、四氫呋喃、二烷、乙二醇二甲醚、二甘醇二甲醚、三甘醇二甲醚、環戊基甲醚等醚類等。該等可單獨使用也可混合使用。
依照製法1得到之本發明之鈦錯合物(1),可藉由適當選用過濾、萃取、蒸餾、升華、結晶化等一般的錯合物的精製方法予以單離。
製法2係係藉由使醯胺錯合物(4)與醇(5)在有機溶劑中反應,而製造鈦錯合物(1a)之方法。
本發明中,以R1a 及R4a 表示之碳數1至6之烷基,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基等。
又,以R2a 及R3a 表示之碳數1至3之烷基,例如甲基、乙基、丙基、異丙基或環丙基。
以R5a 表示之碳數1至16之烷基,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基、庚基、環己基甲基、1,1-二乙基丙基、2-甲基環己基、4-甲基環己基、辛基、1,1-二乙基-2-甲基丙基、2,5-二甲基環己基、3,5-二甲基環己基、1,1,3,3-四甲基丁基、1-甲基-1-丙基丁基、1,1,2,3,3-五甲基丁基、1,1-二乙基-3,3-二甲基丁基、金剛基、1,1-二甲基辛基、1,1-二丙基丁基、1,1-二甲基癸基、1,1-二乙基辛基、1,1-二丁基戊基、1,1-二丁基己基、1,1-二丁基庚基或1,1-二戊基己基等。
該等烷基亦可經氟原子取代,例如三氟甲基、2,2,2-三氟乙基、全氟乙基、全氟丙基、全氟異丙基、全氟丁基、全氟第二丁基、全氟第三丁基、全氟己基、全氟庚基、全氟辛基、全氟壬基、全氟癸基、全氟十一基、全氟十二基、全氟十三基、全氟十四基、全氟十五基或全氟十六基等。
以R7 及R8 表示之碳數1至4之烷基,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基等。該等烷基亦可經氟原子取代,例如:三氟甲基、2,2,2-三氟乙基、全氟乙基、全氟丙基、全氟異丙基、全氟丁基、全氟第二丁基、全氟第三丁基等。
該等之中,R1a 及R4a 以碳數2至6之烷基較佳。更佳為碳數4或5之烷基,例如:丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基或1-乙基丙基等。
又,R2a 及R3a 為氫原子較佳。
又,R5a 以碳數1至8之烷基較佳,例如:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基、1-乙基丙基、己基、異己基、1-甲基戊基、2-甲基戊基、3-甲基戊基、1,1-二甲基丁基、1,2-二甲基丁基、2,2-二甲基丁基、1,3-二甲基丁基、2,3-二甲基丁基、3,3-二甲基丁基、1-乙基丁基、2-乙基丁基、1,1,2-三甲基丙基、1,2,2-三甲基丙基、1-乙基-1-甲基丙基、1-乙基-2-甲基丙基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丁基甲基、庚基、環己基甲基、1,1-二乙基丙基、2-甲基環己基、4-甲基環己基、辛基、1,1-二乙基-2-甲基丙基、2,5-二甲基環己基、3,5-二甲基環己基、1,1,3,3-四甲基丁基或1-甲基-1-丙基丁基較佳。R5a 為碳數3至5之烷基更佳,例如:丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、新戊基、第三戊基、1-甲基丁基、2-甲基丁基、1,2-二甲基丙基或1-乙基丙基更佳。
R7 及R8 以甲基或乙基較佳,甲基更佳。
為原料之醯胺錯合物(4),可參考既知方法(專利文獻1)合成。
製法2使用之有機溶劑,只要不與醯胺錯合物(4)及醇(5)反應者即可。例如戊烷、己烷、庚烷、辛烷、環己烷、甲基環己烷、乙基環己烷等脂烷類、苯、甲苯、二甲苯等芳香族烴類、二乙醚、二異丙醚、二丁醚、四氫呋喃、二烷、乙二醇二甲醚、二甘醇二甲醚、三甘醇二甲醚、環戊基甲醚等醚類等。該等可以單獨使用也可混合使用。
欲依照製法2以良好產率得到鈦錯合物(1a),宜將醇(5)加入預先已將醯胺錯合物(4)溶於有機溶劑之溶液中。
製法2中,於使鈦錯合物(1a)之產率良好之觀點,宜相對於醯胺錯合物(4)使1.6至2.4當量之醇(5)反應,相對於醯胺錯合物(4)使2.0當量之醇(5)作用更佳。
依照製法2得到之鈦錯合物(1a),可藉由適當選用蒸餾、升華、結晶化等一般的錯合物的精製方法而單離。
使用本發明之鈦錯合物(1)作為材料,可製造含鈦薄膜。可製造之薄膜,例如:氧化鈦、氮化鈦及碳化鈦等薄膜及鈦酸鍶、鈦酸鋇等含鈦與其他金屬之複合金屬化合物的薄膜。鈦錯合物(1)由於分子內含有氧原子,故適用於作為氧化鈦或複合氧化物之薄膜製造之材料。含鈦薄膜之製造方法不特別限定,例如;CVD法、ALD法、噴墨法、旋塗法、浸塗法或溶液法等。利用CVD法或ALD法製造薄膜時,係使鈦錯合物(1)氣化,以氣體形式對於反應室供給。使鈦錯合物(1)氣化之方法,例如起泡法或或液體噴射法等。起泡法係於加溫至一定溫度之容器內放置的鈦錯合物(1)中,吹入氦氣、氖氣、氬氣、氪氣、氙氣或氮氣等載流氣體而使鈦錯合物(1)氣化之方法。液體噴射法,係將鈦錯合物(1)以液體狀態送入氣化器,於氣化器內加熱而使鈦錯合物(1)氣化之方法。液體噴射法,可將鈦錯合物(1)溶於溶劑而以溶液形式使用。鈦錯合物(1)以溶液形式使用時,溶劑例如:1,2-二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚、二烷、四氫呋喃、環戊基甲醚等醚類、己烷、環己烷、甲基環己烷、乙基環己烷、庚烷、辛烷、壬烷、癸烷等脂烷類、苯、甲苯、乙基苯、二甲苯等芳香族烴類。該等溶劑可單獨或混合使用。
藉由將以氣體形式供給反應室之鈦錯合物(1)分解,能於基板上形成含鈦薄膜。將鈦錯合物(1)分解之方法,例如:利用熱之方法、使用電漿或光等之方法、於反應室內送入水、氧、臭氧、過氧化氫、氫、氨等反應氣體並使產生化學反應之方法。可將該等方法單獨或併用,將鈦錯合物(1)分解並形成含鈦薄膜。
本發明之含鈦薄膜,可使用作為DRAM、SRAM、FeRAM、ReRAM、MRAM、PRAM、快閃記憶體等半導體裝置之構成元件或光觸媒。
[實施例]
以下,以實施例更詳細說明本發明。惟,本發明不限於該等實施例。
(實施例1)
於氬氣氛圍下,將N,N’-二異丙基-1,4-二氮雜-1,3-丁二烯(i PrNCHCHNi Pr)1.38g(9.83mmol)溶於己烷12mL與四氫呋喃2mL的混合液,加入鋰143mg(20.6mmol),於室溫攪拌12小時。於該反應液中加入已將肆(第三戊氧)鈦(Ti(Ot Pe)4 )3,90g(9.83mmol)溶於己烷10mL的溶液,於室溫攪拌14小時。於該反應液再加入氯三甲基矽烷2.14g(19.7mmol),於室溫攪拌6小時。將產生的不溶物分濾,從濾液將溶劑減壓餾去。將得到之殘渣減壓蒸餾(餾出溫度95℃/0.07Torr),得乙烯-1,2-二基雙(異丙基醯胺)雙(第三戊氧)鈦(Ti(i PrNCHCHNi Pr)(Ot Pe)2 )濃紅色液體(產量3.29g、產率92%)。
1 HNMR(500MHz,C6 D6 ,δ/ppm)5.78(s,2H),3.55(sept,J=6Hz,2H),1.59(q,J=8Hz,4H),1.33(s,12H),1.25(br,12H),1.04(t,J=8Hz,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)106.0,82.8,81.6(br),56.8,38.2,37.8,26.1,9.55,9.48。
(試驗例1)Ti(i PrNCHCHNi Pr)(Ot Pe)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(i PrNCHCHNi Pr)(Ot Pe)2 之TG(熱重量測定)之結果及於密閉容器中以升溫速度10℃/min測定之Ti(i PrNCHCHNi Pr)(Ot Pe)2 之DSC(差示掃描熱量測定)之結果,如圖1所示。從TG之結果得知,Ti(i PrNCHCHNi Pr)(Ot Pe)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知,Ti(i PrNCHCHNi Pr)(Ot Pe)2 之熱安定性為良好。
(實施例2)
於氬氣氣體氛圍下,將乙烯-1,2-二基雙(第三丁基醯胺)雙(二甲基醯胺)鈦(Ti(t BuNCHCHNt Bu)(NMe2 )2 )2.01g(6.62mmol)溶於己烷8mL,並加入乙醇610mg(13.2mmol),於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣進行減壓蒸餾(餾出溫度85℃/0.05Torr),以得到乙烯-1,2-二基雙(第三丁基醯胺)二乙氧鈦(Ti(t BuNCHCHNt Bu)(OEt)2 )濃紅色液體(產量1.88g、產率93%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5,98(s,2H),4.20(br,4H),1.29(s,18H),1.16-1.32(br,6H)13 CNMR(125MHz,C6 D6 ,δ/ppm)102.4,68-70(br),58.0,31.6,21.2。
(試驗例2)Ti(t BuNCHCHNt Bu)(OEt)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(OEt)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(OEt)2 之DSC結果,如圖2所示。從TG之結果可知,Ti(t BuNCHCHNt Bu)(OEt)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t BuNCHCHNt Bu)(OEt)2 之熱安定性為良好。
(實施例3)
於氬氣氣體氛圍下,將N,N’-二(第三丁基)-1,4-二氮雜-1,3-丁二烯(t BuNCHCHNt Bu)2.22g(13.2mmol)溶於四氫呋喃20mL,並加入鈉606mg(26.4mmol),於室溫攪拌12小時。於該反應液加入已將四異丙氧鈦(Ti(Oi Pr)4 )3.56g(12.5mmol)溶於己烷10mL之溶液,於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,於殘渣中加入己烷20mL。將產生之不溶物分濾,從濾液將溶劑減壓餾去。藉由將得到之殘渣減壓蒸餾(餾出溫度85℃/0.05Torr),得乙烯-1,2-二基雙(第三丁基醯胺)二異丙氧鈦(Ti(t BuNCHCHNt Bu)(Oi Pr)2 )濃紅色液體(產量3.91g、產率93%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.96(s,2H),4.0-5.0(br,2H),1.30(s,18H),1.1-1.3(br,12H)13 C NMR(125MHz,C6 D6 ,δ/ppm)102.7,74.5(br),57.6,31.7,27.8。
(試驗例3)Ti(t BuNCHCHNt Bu)(Oi Pr)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(Oi Pr)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(Oi Pr)2 之DSC結果,如圖3所示。從TG結果可知,Ti(t BuNCHCHNt Bu)(Oi Pr)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t BuNCHCHNt Bu)(Oi Pr)2 之熱安定性為良好。
(實施例4)
於氬氣氣體氛圍下,將乙烯-1,2-二基雙(第三丁基醯胺)雙(二甲基醯胺)鈦(Ti(t BuNCHCHNt Bu)(NMe2 )2 )6.01g(19.7mmol)溶於甲苯20mL,加入2-丙醇2.37g(39.4mmol),於室溫攪拌2小時。從該反應液將溶劑於減壓下餾去,將得到的殘渣減壓蒸餾(餾出溫度85℃/0.05Torr),得Ti(t BuNCHCHNt Bu)(Oi Pr)2 濃紅色液體(產量6.21g、產率94%)。測定如此方式得到之Ti(t BuNCHCHNt Bu)(Oi Pr)21 H及13 C NMR光譜,該等光譜與實施例3得到者之光譜為一致。
(實施例5)
於氬氣氣體氛圍下,將Ti(t BuNCHCHNt Bu)(NMe2 )2 1.76g(5.78mmol)溶於己烷7mL,並加入第三戊醇1.02g(11.6mmol),於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度97℃/0.05Torr),得乙烯-1,2-二基雙(第三丁基醯胺)雙(第三戊氧)鈦(Ti(t BuNCHCHNt Bu)(Ot Pe)2 )濃紅色液體(產量2.11g、產率93%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.93(s,2H),1.55(br,4H),1.30(s,18H),1.1-1.4(br,12H),0.99(br,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)103.1,81.4(br),57.4,38.3,31.9,31.1,9.8。
(試驗例4)Ti(t BuNCHCHNt Bu)(Ot Pe)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(Ot Pe)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(Ot Pe)2 之DSC之結果,如圖4所示。從TG結果,得知Ti(t BuNCHCHNt Bu)(Ot Pe)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果,可知Ti(t BuNCHCHNt Bu)(Ot Pe)2 之熱安定性良好。
(實施例6)
於氬氣氣體氛圍下,將Ti(t BuNCHCHNt Bu)(NMe2 )2 2.61g(8.58mmol)溶於己烷10mL,加入3-乙基-3-戊醇2.00g(17.2mmol),於室溫攪拌14小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度112℃/0.03Torr),得乙-1,2-二基雙(第三丁基醯胺)雙(1,1-二乙基丙氧)鈦(Ti(t BuNCHCHNt Bu)(OCEt3 )2 )濃紅色液體(產量1.93g、產率50%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.95(s,2H),1.55(br,12H),1,33(s,18H),0.96(br,18H)13 C NMR(125MHz,C6 D6 ,δ/ppm)103.0,86.0(br),57.5,32.3,31.5,8.8
(試驗例5)Ti(t BuNCHCHNt Bu)(OCEt3 )2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(OCEt3 )2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(OCEt3 )2 之DSC之結果,如圖5所示。從TG之結果可知Ti(t BuNCHCHNt Bu)(OCEt3 )2 ,具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t BuNCHCHNt Bu)(OCEt3 )2 之熱安定性為良好。
(實施例7)
於氬氣氣體氛圍下,將Ti(t BuNCHCHNt Bu)(NMe2 )2 3.09g(10.2mmol)溶於己烷10mL,加入1,1-二乙基-2-甲基丙醇2.64g(20.3mol),於室溫攪拌4.5小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(油浴溫度190℃/0.06Torr),得乙烯-1,2-二基雙(第三丁基醯胺)雙(1,1-二乙基-2-甲基丙氧)鈦(Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 )濃紅色固體(產量3.35g、產率69%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.97(s,2H),1.6t(br,10H),1,34(s,18H),1.00(br,24H)13 C NMR(125MHz,C6 D6 ,δ/ppm)103.2,88.1(br),57.9,34.8,31.5,29.5,17.8,8.7。
(試驗例6)Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 之TG結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 之DSC之結果,如圖6所示。從TG之結果,可知Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知,Ti(t BuNCHCHNt Bu)(OCEt2 CHMe2 )2 之熱安定性良好。
(實施例8)
於氬氣氣體氛圍下,將Ti(t BuNCHCHNt Bu)(NMe2 )2 4.11g(13.5mmol)溶於己烷20mL,加入2,2,2-三氟乙醇2.70g(27.0mmol),於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度90℃/0.10Torr),得乙烯-1,2-二基雙(第三丁基醯胺)雙(2,2,2-三氟乙氧)釱(Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 )濃紅色液體(產量4.94g、產率88%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.90(s,2H),4.4(br,2H),3.8(br,2H),1.14(s,18H)13 C NMR(125MHz,C6 D6 ,δ/ppm)125.3(q,J=279Hz),101.4,70-72(br),68-70(br),59.7,31.019 F NMR(470MHz,C6 D6 ,δ/ppm)-78.5(br),-79.2(br)。
(試驗例7)Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 之DSC結果如圖7所示。從TG之結果可知Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知,Ti(t BuNCHCHNt Bu)(OCH2 CF3 )2 之熱安定性良好。
(參考例1)
於氬氣氣體氛圍下,於已將N,N’-二(第三戊基)-1,4-二氮雜-1,3-丁二烯(t PeNCHCHNt Pe)5.31g(27.0mmol)溶於四氫呋喃50mL之溶液中,加入鋰379mg(54.6mmol),於室溫攪拌14小時。分濾殘留的鋰,從濾液將溶劑減壓餾去。使殘留的黃色固體懸浮於己烷30mL,加入已將肆(二甲基醯胺)鈦(Ti(NMe2 )4 )5.77g(25.7mmol)溶於己烷10mL之溶液。於50℃攪拌4小時後,冷卻至室溫,分濾不溶物。從濾液將溶劑減壓餾去,將得到之殘渣減壓蒸餾,得到乙烯-1,2-二基雙(第三戊基醯胺)雙(二甲基醯胺)鈦(Ti(t PeNCHCHNt Pe)(NMe2 )2 )濃紅色液體(產量7.83g、產率91%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.77(s,2H),3.04(br,6H),1.51(q,J=8Hz,4H),1.24(s,12H),0.77(t,J=8Hz,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)101.6,60.9,43.4,36.4,28.5,8.9
(實施例9)
於氬氣氣體氛圍下,將Ti(t PeNCHCHNt Pe)(NMe2 )2 3.43g(10.3mmol)溶於己烷15mL,加入甲醇661mg(20.6mmol),於室溫攪拌14小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度106℃/0.10Torr),得到乙烯-1,2-二基雙(第三戊基醯胺)二甲氧鈦(Ti(t PeNCHCHNt Pe)(OMe)2 )濃紅色黏性液體(產量2.92g、產率92%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)6.02(s,2H),3.99(br,6H),1.54(q,J=8Hz,4H),1.27(s,12H),0.78(t,J=8Hz,6H)13 CNMR(125MHz,C6 D6 ,δ/ppm)103.0,61.4,61.2(br),37.0,28.5,9.3
(試驗例8)Ti(t PeNCHCHNt Pe)(OMe)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定的Ti(t PeNCHCHNt Pe)(OMe)2 之TG結果及於密閉容器中以升溫速度10℃/min測定之Ti(t PeNCHCHNt Pe)(OMe)2 之DSC結果,如圖8所示。從TG之結果可得知Ti(t PeNCHCHNt Pe)(OMe)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t PeNCHCHNt Pe)(OMe)2 之熱安定性良好。
(實施例10)
於氬氣氣體氛圍下,將Ti(t PeNCHCHNt Pe)(NMe2 )2 2.21g(6.65mmol)溶於己烷10mL,加入乙醇613mg(13.3mmol),於室溫攪拌8小時。從該反應液將溶劑於減壓下餾去,將得到的殘渣減壓蒸餾(餾出溫度100℃/0.05Torr),得乙烯-1,2-二基雙(第三戊基醯胺)二乙氧鈦(Ti(t PeNCHCHNt Pe)(OEt)2 )濃紅色液體(產量2.16g、產率97%)。
1 H NMR(500HNz,C6 D6 ,δ/ppm)5.91(s,2H),3.4-4.8(br,4H),1.55(q,J=8Hz,4H),1.25(12H),1.1-1.5(br,6H),0.80(t,J=8Hz,6H)13 CNMR(125MHz,C6 D6 ,δ/ppm)102.3,71.0(br),60.7,36.6,29.1(br),21.1,9.2
(試驗例9)Ti(t PeNCHCHNt Pe)(OEt)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t PeNCHCHNt Pe)(OEt)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t PeNCHCHNt Pe)(OEt)2 之DSC之結果,如圖9所示。從TG之結果可知Ti(t PeNCHCHNt Pe)(OEt)2 具有適於作為CVD法或ALD法等之材料的氣化特性,從DSC之結果可知Ti(t PeNCHCHNt Pe)(OEt)2 ,之熱安定性良好。
(實施例11)
於氬氣氣體氛圍下將N,N’-二(第三戊基)-1,4-二氮雜-1,3-丁二烯(t PeNCHCHNt Pe)3.09g(15.7mmol)溶於四氫呋喃30mL,加入鈉758mg(33.0mmol),於室溫攪拌12小時。於該反應液加入已將四異丙氧鈦(Ti(Oi Pr)4 )4.25g(15.0mmol)溶於己烷10mL之溶液,於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,於殘渣中加入己烷30mL。分濾產生的不溶物,從濾液將溶劑減壓餾去。將得到之殘渣減壓蒸餾(餾出溫度92℃/0.05Torr),得乙烯-1,2-二基雙(第三戊基醯胺)二異丙氧鈦(Ti(t PeNCHCHNt Pe)(Oi Pr)2 )濃紅色液體(產量4.96g、產率92%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.90(s,2H),3.7-5.2(br,2H),1.56(q,J=8Hz,4H),1.26(s,12H),0.9-1.6(br,12H),0.81(t,J=8Hz,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)102.6,74.4(br),60.3,36.8,29.2(br),27.8,9.3
(試驗例10)Ti(t PeNCHCHNt Pe)(Oi Pr)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t PeNCHCHNt Pe)(Oi Pr)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(t PeNCHCHNt Pe)(Oi Pr)2 之DSC結果,如圖10所示。從TG之結果可知Ti(t PeNCHCHNt Pe)(Oi pr)2 具有適用於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t PeNCHCHNt Pe)(Oi Pr)2 之熱安定性良好。
(實施例12)
於氬氣氣體氛圍下,將乙烯-1,2-二基雙(第三戊基醯胺)雙(二甲基醯胺)鈦(Ti(t PeNCHCHNt Pe)(NMe2 )2 )1.90g(5.71mmol)溶於己烷10mL,加入2-丙醇687mg(11.4mmol),於室溫攪拌3小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度95℃/0.06Torr),得Ti(t PeNCHCHNt Pe)(Oi Pr)2 濃紅色液體(產量2.00g、產率96%)。測定以此方式得到之Ti(t PeNCHCHNt Pe)(Oi Pr)21 H及13 C NMR光譜,結果該等的光譜與實施例11得到者之光譜一致。
(實施例13)
於氬氣氣體氛圍下,將N,N’-二(第三戊基)-1,4-二氮雜-1,3-丁二烯(t PeNCHCHNt Pe)2.38g(12.1mmol)溶於四氫呋喃24mL,加入鈉584mg(25.4mmol),於室溫攪拌12小時。於該反應液中加入已溶解四(第三丁氧)鈦(Ti(Ot Bu)4 )3.93g(11.5mmol)於己烷12mL的溶液,於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,於殘渣中加入己烷25mL。分濾產生的不溶物,從濾液將溶劑減壓餾去。將得到之殘渣減壓蒸餾(餾出溫度105℃/0.10Torr),得乙烯-1,2-二基雙(第三戊基醯胺)二(第三丁氧)鈦(Ti(t PeNCHCHNt Pe)(Ot Bu)2 )濃紅色液體(產量4.28g、產率95%)。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.87(s,2H),1.58(q,J=8Hz,4H),1.27(s,12H),1.0-1.7(br,18H),0.81(t,J=8Hz,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)103.1,79.3(br),60.0,37.0,33.4,29.4(br),9.4
(試驗例11)Ti(t PeNCHCHNt Pe)(Ot Bu)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t PeNCHCHNt Pe)(Ot Bu)2 之TG結果及於密閉容器中以升溫速度10℃/min測定之Ti(t PeNCHCHNt Pe)(Ot Bu)2 之DSC結果,如圖11所示。從TG之結果可知Ti(t PeNCHCHNt Pe)(Ot Bu)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t PeNCHCHNt Pe)(Ot Bu)2 之熱安定性良好。
(實施例14)
於氬氣氣體氛圍下,將Ti(t PeNCHCHNt Pe)(NMe2 )2 1.10g(3.32mmol)溶於己烷5mL,加入第三丁醇493mg(6.65mmol),於室溫攪拌14小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度105℃/0.10Torr),得Ti(t PeNCHCHNt Pe)(Ot Bu)2 濃紅色液體(產量1.24g、產率96%)。測定以此方式得到之Ti(t PeNCHCHNt Pe)(Ot Bu)21 H及13 CNMR光譜,該等的光譜與實施例13得到者的光譜為一致。
(參考例2)
於1,1,3,3-四甲基丁基胺19.5g(151mmol)與水100mL之混合液中加入40%乙二醛水溶液10.7g(73.5mmol),於室溫攪拌1小時。分濾產生的固體,以水10mL清洗2次後,於減壓下乾燥,得N,N’-二(1,1,3,3-四甲基丁基)-1,4-二氮雜-1,3-丁二烯(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )白色固體(產量19.5g、產率95%)。
1 H NMR(500MHz,C6 D6 ,δ/PPm)8.09(s,2H),1.61(s,4H),1.14(s,12H),0.98(18H)13 C NMR(125MHZ,C6 D6 ,δ/ppm)157.8,62.0,56.6,32.5,32.2,29.8
(實施例15)
於氬氣氣體氛圍下,將Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 2.98g(10.6mmol)溶於四氫呋喃30mL,加入鈉538mg(23.4mmol),於室溫攪拌16小時。於該反應液中加入已將Ti(Oi Pr)4 3.02g(10.6mmol)溶於己烷15mL之溶液,於室溫攪拌12小時。從該反應液將溶劑於減壓下餾去,於殘渣中加入己烷25mL。分濾產生的不溶物,從濾液將溶劑減壓餾去。將得到之殘渣減壓蒸餾(餾出溫度130℃/0.04Torr),得乙烯-1,2-二基雙(1,1,3,3-四甲基丁基醯胺)二異丙氧鈦(Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi pr)2 )濃紅色液體(產量4.18g、產率88%)。
1 HNMR(500MHz,C6 D6 ,δ/ppm)5.93(s,2H),4.83(br,1H),4.11(br,1H),1.62(s,4H),1.2-1.7(br,18H),0.9-1,2(br,6H),0.94(s,18H)13 C NMR(125MHz,C6 D6 ,δ/ppm)102.3,76.0(br),73.1(br),61.5,56.9,32.1,32.0,31.9(br),27.9
(試驗例12)Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi Pr)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi Pr)2 之TG之結果及於密閉容器中以升溫速度10℃/min測定之Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi Pr)2 之DSC結果,如圖12所示。從TG結果可知Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi Pr)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(Me3 CCH2 CMe2 NCHCHNCMe2 CH2 CMe3 )(Oi pr)2 之熱安定性良好。
(實施例16)
對使用恆溫槽保持為61℃之材料容器內放置的Ti(t BuNCHCHNt Bu)(Oi Pr)2 ,以流量30sccm吹入作為載流氣體的氬氣,使Ti(t BuNCHCHNt Bu)(Oi Pr)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量180sccm、作為反應氣體之氧氣以流量90sccm,分別送入反應室。將反應室內設置之SiO2 /Si基板之溫度保持400℃,並排氣至使得反應室內壓力保持4Torr。於該條件花費1小時形成薄膜的CVD成膜裝置概略如圖13。得到之薄膜以螢光X射線裝置分析,確認含有Ti。又,將該薄膜組成以X射線光電子分光法分析,確認為氧化鈦。
(實施例17)
對於使用恆溫槽保持61℃之材料容器內放置的Ti(t BuNCHCHNt Bu)(Oi Pr)2 將作為載流氣體之氬氣以流量30sccm吹入,使Ti(t BuNCHCHNt Bu)(Oi Pr)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量180sccm,作為反應氣體之氧氣以流量90sccm,分別送入反應室。保持設置於反應室內之SiO2 /Si基板之溫度為240℃,並排氣至使得反應室內之壓力保持4Torr。於該條件花費1小時形成薄膜之CVD成膜裝置概略如圖13。將得到之薄膜使用螢光X射線裝置分析,確認含有Ti。又,將該薄膜之組成以X射線光電子分光法分析,確認為氧化鈦。
(實施例18)
於氬氣氣體氛圍下,將Ti(t BuNCHCHNt Bu)(NMe2 )2 28.54g(93.8mmol)溶於己烷60mL,加入第二丁醇13.90g(187.5mmol),於室溫攪拌5小時。從該反應液將溶劑於減壓下餾去,將得到之殘渣減壓蒸餾(餾出溫度92-96℃/0.03Torr),得乙烯-1,2-二基雙(第三丁基醯胺)雙(第二丁氧)鈦(Ti(t BuNCHCHNt Bu)(Os Bu)2 )濃紅色液體(產量32.35g、產率95%)。又,該等係依據第二丁基之旋光性差異的異構體的混合物。
1 H NMR(500MHz,C6 D6 ,δ/ppm)5.96(s,2H),4.25(br,2H),1.7-1.3(b,4H),1.30(s,18H),1.3-1.1(br,6H),1.1-0.9(br,6H)13 C NMR(125MHz,C6 D6 ,δ/ppm)102.73,102.71,102.70,80.0(br),57.8,57.74,57.71,34.3,31.7,25.7,11.1
(試驗例13)Ti(t BuNCHCHNt Bu)(Os Bu)2 之熱分析
於使氬氣以400mL/min流通之氣體氛圍下,以升溫速度10℃/min之條件測定之Ti(t BuNCHCHNt Bu)(Os Bu)2 之TG結果及於氬氣氣體氛圍下在密閉容器中以升溫速度10℃/min測定之Ti(t BuNCHCHNt Bu)(Os Bu)2 之DSC結果,如圖14所示。從TG之結果可知Ti(t BuNCHCHNt Bu)(Os Bu)2 具有適於作為CVD法或ALD法等的材料的氣化特性,從DSC之結果可知Ti(t BuNCHCHNt Bu)(Os Bu)2 之熱安定性良好。
(實施例19)
於氬氣氣體氛圍下使N,N’-二(第三丁基)-1,4-二氮雜-1,3-丁二烯(t BuNCHCHNt Bu)14.85g(88.2mmol)溶於四氫呋喃110mL,加入鈉4.18g(182mmol),於室溫攪拌14小時。將該反應液加入到已將四第二丁氧鈦(Ti(Os Bu)4 )29.18g(85.7mmol)溶於己烷50mL之溶液,於室溫攪拌14小時。從該反應液將溶劑於減壓下餾去,於殘渣加入己烷120mL。分濾產生的不溶物,從濾液將溶劑減壓蒸餾。將得到之殘渣減壓蒸餾(餾出溫度92-96℃/0.03Torr),得Ti(t BuNCHCHNt Bu)(Os Bu)2 濃紅色液體(產量28.81g、產率93%)。又,該等為依據第二丁基之旋光性差異之異構體的混合物。測定以此方式得到之Ti(t BuNCHCHNt Bu)(Os Bu)21 H及13 C NMR光譜,該等光譜與實施例18得到者的光譜為一致。
(實施例20)
對於使用恆溫槽保持在84℃之材料容器內放置的Ti(t BuNCHCHNt Bu)(OEt)2 將作為載流氣體之氬氣以流量10sccm吹入,使Ti(t BuNCHCHNt Bu)(OEt)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量230sccm、作為反應氣體之氧氣以流量60sccm分別送到反應室。使反應室內設置之SiO2 /Si基板之溫度保持為400℃,並排氣至使反應室內之壓力保持4Torr。以此條件花費1小時形成薄膜的CVD成膜裝置概略,如圖15所示。得到之薄膜使用螢光X射線裝置分析,確認含有Ti。
(實施例21)
對於使用恆溫槽保持在84℃之材料容器內放置的Ti(t BuNCHCHNt Bu)(Ot Pe)2 將作為載流氣體之氬氣以流量10sccm吹入,使Ti(t BuNCHCHNt Bu)(Ot Pe)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量230sccm,作為反應氣體之氧氣以流量60sccm,分別送入反應室。反應室內設置之SiO2 /Si基板之溫度保持為400℃,並排氣至使得反應室內之壓力保持為100Torr。於此條件花費1小時形成薄膜之CVD成膜裝置之概略,如圖15所示。得到之薄膜使用螢光X射線裝置分析,確認含有Ti。
(實施例22)
對於使用恆溫槽保持在84℃之材料容器內放置的Ti(t PeNCHCHNt Pe)(Oi Pr)2 ,將作為載流氣體之氬氣以流量10sccm吹入,使Ti(t PeNCHCHNt Pe)(Oi Pr)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量230sccm、作為反應氣體之氧氣以流量60sccm,分別送入反應室。反應室內設置之SiO2 /Si基板之溫度保持為400℃,並排氣使得反應室內之壓力保持4Torr。於此條件花費1小時形成薄膜之CVD成膜裝置之概略,如圖15所示。得到之薄膜使用螢光X射線裝置分析,確認含有Ti。
(實施例23)
對於使用恆溫槽保持84℃之材料容器內放置之Ti(t BuNCHCHNt Bu)(Os Bu)2 ,將作為載流氣體之氬氣以流量10sccm吹入,使Ti(t BuNCHCHNt Bu)(Os Bu)2 氣化並送入反應室。此時原料容器之內壓為100Torr。同時,將作為稀釋氣體之氬氣以流量230sccm、作為反應氣體之氧氣以流量60sccm,分別送入反應室。反應室內設置之SiO2 /Si基板之溫度保持為400℃,並排氣使得反應室內之壓力保持4Torr。於此條件花費1小時形成薄膜之CVD成膜裝置概略,如圖15所示。得到之薄膜使用螢光X射線裝置分析,確認含有Ti。
本發明已詳細地參照特定實施態樣說明,但是該技術領域中具通常知識者當知在不脫離本發明之精神及範圍之下,可進行各種變更或修正。
本申請案基於2008年6月23日提申之日本專利申請案(特願2008-163477)、及2009年5月26日提申之日本專利申請案(特願2009-126417),其內容作為參照納入於此。
(產業利用性)
本發明之鈦錯合物(1),具良好氣化特性及優異的熱安定性,即,具高蒸氣壓及高熱安定性,藉由以此等為材料之CVD法或ALD法等方法,可製造含鈦薄膜。所以,本發明之工業價值顯著。
1...材料容器
2...恆溫槽
3...反應室
4...基板
5...反應氣體
6...稀釋氣體
7...載流氣體
8...質量流控制器
9...質量流控制器
10...質量流控制器
11...真空泵浦
12...排氣
13...材料容器
14...恆溫槽
15...反應室
16...基板
17...反應氣體
18...稀釋氣體
19...載流氣體
20...質量流控制器
21...質量流控制器
22...質量流控制器
23...真空泵浦
24...排氣
圖1顯示試驗例1之TG及DSC測定結果。
圖2顯示試驗例2之TG及DSC測定結果。
圖3顯示試驗例3之TG及DSC測定結果。
圖4顯示試驗例4之TG及DSC測定結果。
圖5顯示試驗例5之TG及DSC測定結果。
圖6顯示試驗例6之TG及DSC測定結果。
圖7顯示試驗例7之TG及DSC測定結果。
圖8顯示試驗例8之TG及DSC測定結果。
圖9顯示試驗例9之TG及DSC測定結果。
圖10顯示試驗例10之TG及DSC測定結果。
圖11顯示試驗例11之TG及DSC測定結果。
圖12顯示試驗例12之TG及DSC測定結果。
圖13顯示實施例16及17使用之CVD成膜裝置之概略圖。
圖14顯示試驗例13之TG及DSC測定結果。
圖15顯示實施例20、21、22及23使用之CVD成膜裝置概略圖。

Claims (7)

  1. 一種鈦錯合物,係乙烯-1,2-二基雙(第三丁基醯胺)二異丙氧鈦(Ti(t BuNCHCHNt Bu)(Oi Pr)2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(第三戊氧)鈦(Ti(t BuNCHCHNt Bu)(Ot Pe)2 )或乙烯-1,2-二基雙(第三戊基醯胺)二異丙氧鈦(Ti(t PeNCHCHNt Pe)(Oi Pr)2 )。
  2. 一種以通式(1)表示之鈦錯合物之製造方法,係使通式(2)表示之二亞胺、鹼金屬及通式(3)表示之烷氧錯合物反應; (式中,R1 及R4 各自獨立,表示碳數1至16之烷基;R2 及R3 各自獨立,表示氫原子或碳數1至3之烷基);通式(3)Ti(OR 5 ) 4 (3) (式中,R5 表示亦可經氟原子取代之碳數1至16之烷基);通式(1) (式中,R1 及R4 各自獨立,表示碳數1至16之烷基;R2 及R3 各自獨立,表示氫原子或碳數1至3之烷基;R5 表示亦可經氟原子取代之碳數1至16之烷基)。
  3. 如申請專利範圍第2項之以通式(1)表示之鈦錯合物之製造方法,其中,R1 及R4 各自獨立,表示碳數2至6之烷基,R2 及R3 為氫原子,R5 為碳數1至8之烷基。
  4. 如申請專利範圍第2項之以通式(1)表示之鈦錯合物之製造方法,其中,R1 及R4 各自獨立,表示碳數4或5之烷基,R2 及R3 為氫原子,R5 為碳數3至5之烷基。
  5. 一種乙烯-1,2-二基雙(第三丁基醯胺)二異丙氧鈦(Ti(t BuNCHCHNt Bu)(Oi Pr)2 )、乙烯-1,2-二基雙(第三丁基醯胺)雙(第三戊氧)鈦(Ti(t BuNCHCHNt Bu)(Ot Pe)2 )或乙烯-1,2-二基雙(第三戊基醯胺)二異丙氧鈦(Ti(t PeNCHCHNt Pe)(Oi Pr)2 )之鈦錯合物之製造方法,係使以通式(4)表示之醯胺錯合物與以通式(5)表示之醇反應; (式中,R1a 及R4a 各自獨立,表示第三丁基或第三戊基;R2a 及R3a 各自獨立,表示氫原子;R7 及R8 各自獨立,表示亦可經氟原子取代之碳數1至4之烷基)通式(5)R 5a OH(5) (式中,R5a 表示第三丁基或第三戊基)。
  6. 如申請專利範圍第5項之以通式(1a)表示之鈦錯合物之製造方法,其中,R1a 及R4a 各自獨立,表示碳數2至6之烷基,R2a 及R3a 為氫原子,R5a 表示碳數1至8之烷基。
  7. 如申請專利範圍第5項之以通式(1a)表示之鈦錯合物之製造方法,其中,R1a 及R4a 各自獨立,表示碳數4或5之烷基,R2a 及R3a 為氫原子,R5a 為碳數3至5之烷基。
TW098120854A 2008-06-23 2009-06-22 鈦錯合物及其製造方法、與含鈦薄膜及其製造方法 TWI428344B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008163477 2008-06-23

Publications (2)

Publication Number Publication Date
TW201000492A TW201000492A (en) 2010-01-01
TWI428344B true TWI428344B (zh) 2014-03-01

Family

ID=41444394

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098120854A TWI428344B (zh) 2008-06-23 2009-06-22 鈦錯合物及其製造方法、與含鈦薄膜及其製造方法

Country Status (6)

Country Link
US (1) US8779174B2 (zh)
JP (1) JP5424715B2 (zh)
KR (1) KR101623142B1 (zh)
CN (1) CN102066313B (zh)
TW (1) TWI428344B (zh)
WO (1) WO2009157326A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9371452B2 (en) 2011-09-05 2016-06-21 Tosoh Corporation Film-forming material, group IV metal oxide film and vinylenediamide complex
US20160319444A1 (en) * 2013-12-20 2016-11-03 Greene Lyon Group, Inc. Method and apparatus for recovery of noble metals, including recovery of noble metals from plated and/or filled scrap
JP6294151B2 (ja) * 2014-05-12 2018-03-14 東京エレクトロン株式会社 成膜方法
JP6954776B2 (ja) * 2017-06-29 2021-10-27 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2850512A (en) * 1957-04-23 1958-09-02 Nat Lead Co Titanium ester complexes
US5607722A (en) * 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
JP4980679B2 (ja) * 2005-11-11 2012-07-18 東ソー株式会社 チタン錯体、それらの製造方法、チタン含有薄膜及びそれらの形成方法
WO2012124913A2 (ko) 2011-03-15 2012-09-20 주식회사 메카로닉스 신규한 4-비이 족 유기금속화합물 및 그 제조방법

Also Published As

Publication number Publication date
JP5424715B2 (ja) 2014-02-26
JP2010030986A (ja) 2010-02-12
US8779174B2 (en) 2014-07-15
KR101623142B1 (ko) 2016-05-20
KR20110031160A (ko) 2011-03-24
CN102066313B (zh) 2014-04-02
WO2009157326A1 (ja) 2009-12-30
CN102066313A (zh) 2011-05-18
US20120029220A1 (en) 2012-02-02
TW201000492A (en) 2010-01-01

Similar Documents

Publication Publication Date Title
TWI359804B (en) Metal-containing compound, method for producing th
JP5957017B2 (ja) 新規な4b族有機金属化合物及びその製造方法
JP5857970B2 (ja) (アミドアミノアルカン)金属化合物、及び当該金属化合物を用いた金属含有薄膜の製造方法
TWI419862B (zh) 三牙β-酮基亞胺鹽的金屬錯合物
TWI390070B (zh) β-二亞胺配位子來源及其含金屬之化合物與包含彼等之系統與方法
KR101332070B1 (ko) 유기금속 화합물 및 그의 제조 방법
JP5148186B2 (ja) イミド錯体、その製造方法、金属含有薄膜及びその製造方法
TWI469988B (zh) 新穎的4b族金屬有機化合物及其製備方法
TWI428344B (zh) 鈦錯合物及其製造方法、與含鈦薄膜及其製造方法
JP2024023276A (ja) シクロペンタジエニル配位子を含む金属錯体
JP5311886B2 (ja) ストロンチウム含有薄膜形成用原料およびその製造方法
CN115003855A (zh) 由有机钌化合物构成的化学蒸镀用原料及使用该化学蒸镀用原料的化学蒸镀法
TW201522695A (zh) 由釕錯合物所構成的化學蒸鍍原料及其製造方法和化學蒸鍍法
KR20150117179A (ko) 지르코늄 함유막 형성용 신규 전구체 화합물, 이를 포함하는 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
KR20140074162A (ko) 4 족 전이금속-함유 전구체 화합물, 및 이를 이용하는 박막의 증착 방법
KR100634814B1 (ko) 새로운 티타늄 산화물 선구 물질 및 그 제조 방법
KR101126141B1 (ko) 유기 이리듐 화합물, 그의 제법 및 막의 제조방법
KR102415479B1 (ko) 알콕사이드 화합물, 박막 형성용 원료, 박막의 형성방법 및 알코올 화합물
TWI838641B (zh) 含有第四族金屬元素之化合物、含有其的前驅物組合物及使用其形成薄膜之方法
TWI740541B (zh) 由含有機錳化合物而成的化學蒸鍍用原料及使用該化學蒸鍍用原料的化學蒸鍍法
TWI831983B (zh) 釕化合物、用於形成薄膜之原料及薄膜之製造方法
KR102129055B1 (ko) 지르코늄 아미노알콕사이드계 전구체, 이의 제조방법, 및 이를 이용하여 박막을 형성하는 방법
TW202246294A (zh) 用於沉積含金屬之薄膜的第6族脒鹽槳舵狀化合物
KR100992982B1 (ko) 새로운 하프늄 알콕사이드 화합물 및 이의 제조 방법
KR20130118713A (ko) 4 족 전이금속-함유 전구체 화합물, 및 이를 이용하는 박막의 증착 방법