TWI397126B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI397126B
TWI397126B TW098135952A TW98135952A TWI397126B TW I397126 B TWI397126 B TW I397126B TW 098135952 A TW098135952 A TW 098135952A TW 98135952 A TW98135952 A TW 98135952A TW I397126 B TWI397126 B TW I397126B
Authority
TW
Taiwan
Prior art keywords
carbon
wiring
insulating layer
metal film
porous insulating
Prior art date
Application number
TW098135952A
Other languages
English (en)
Other versions
TW201025451A (en
Inventor
Shinichi Chikaki
Takahiro Nakayama
Original Assignee
Renesas Electronics Corp
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp, Ulvac Inc filed Critical Renesas Electronics Corp
Publication of TW201025451A publication Critical patent/TW201025451A/zh
Application granted granted Critical
Publication of TWI397126B publication Critical patent/TWI397126B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係關於包含多孔質絕緣層的半導體裝置及其製造方法。
專利文獻1中記載如下方法:以烴類氣體電漿處理,藉由在多孔質絕緣膜表面設置聚合物膜以使阻障膜更加被覆完全。
專利文獻1所記載的方法中,首先如圖5(a)所示,於半導體基板上在包含配線102的底層膜101上形成蝕刻停止.抗擴散膜103。蝕刻停止.抗擴散膜103通常為氮化矽膜(Si3 N4 )或碳化矽膜(SiC)。然後,形成具低介電常數的多孔質絕緣層104。其後,使用光阻遮罩而以蝕刻形成通孔107及溝槽108。利用灰化去除遮罩後,通孔係貫通多孔質絕緣層104、蝕刻停止.抗擴散膜103到達配線102,而溝槽形成多孔質絕緣層104之膜厚約1/2深度的剖面形狀(圖5(b))。
然後,進行烴類氣體電漿處理。在層間絕緣膜表面及露出通孔107之底部的配線之表面設置薄的聚合物膜109c(圖5(c))。聚合物膜109c使用C2 H4 氣體而以6~70mTorr的壓力、500~1700W的功率形成。
以PVD(物理氣相沉積)法形成Cu阻隔性的TaN膜110,及電鍍Cu時的供電Cu膜後,以電鍍法在通孔107、溝槽108埋設Cu膜111(圖6(a))。然後,以(CMP)化學機械研磨依序去除多孔質絕緣層表面的Cu膜111、TaN膜110、聚合物膜109c,形成配線(圖6(b))。
又,專利文獻2中記載以下之方法:
首先如圖7(a)所示,於半導體基板上在包含配線102的底層膜101上形成蝕刻停止.抗擴散膜103。然後,在具有低介電常數的多孔質絕緣層104,以既定的方法形成通孔107及溝槽108(圖7(b))。
然後,以CVD(化學氣相沉積)法或塗佈法,在通孔107、溝槽108之側壁及基板最表面設置聚合物膜109c。接著,以非等向性電漿蝕刻,使聚合物膜109c留在通孔107、溝槽108之側面(圖7(c))。
以PVD法形成Cu阻隔性的TaN膜110,及電鍍Cu時的供電Cu膜後,以電鍍法在通孔107、溝槽108埋設Cu膜111(圖8(a))。然後,以CMP依序去除多孔質絕緣層表面的Cu膜111、TaN膜110、聚合物膜109c,形成配線(圖8(b))。
【專利文獻1】 美國專利公報第7067925號
【專利文獻2】 美國專利公報第7057287號
然而,上述文獻記載的技術在以下各點仍有改善的餘地。
專利文獻1所記載的方法中,由於通孔底之配線表面形成有聚合物膜109c,因此有下層配線102與通孔配線(Cu膜111)間之接觸電阻變高的情形。尤其近年來,為實現LSI(大型積體電路)的性能提高,不斷進行配線間距之縮小化及多層配線層數之增大。由於通孔電阻與通孔底之面積成比例,因此有通孔電阻比起配線間距之縮小率更增大化的傾向。又,配線層數越增加,通孔電阻於配線電阻中所佔比例變越大。
亦即,專利文獻1所記載的半導體裝置中,有配線間之電阻增大,且連接可靠度降低的情形。因此,有造成半導體裝置之品質下降或產量下降的情形。
專利文獻2所記載的半導體裝置中,於通孔、溝槽內存在不具有聚合物膜之處。因此,即使具有金屬阻障膜,也無法充分地抑制Cu擴散至多孔質膜,而有時發生電遷移或應力遷移等,有連接可靠度降低的情形。
又,專利文獻2所記載的方法中,為使薄的聚合物膜109c僅留在通孔107、溝槽108之側壁所進行的非等向性蝕刻,受蝕刻氣體迴繞的影響,而越靠近基板最表面之處的膜,其蝕刻速度越大。因此,於完全去除到達通孔底的聚合物膜109c之間,到達溝槽底108a的聚合物膜109c或基板最上層的聚合物膜109c先被去除,連其下層的膜都被過度去除。由於多孔質絕緣層104比起聚合物膜109c密度較小,因此蝕刻速度大,而難以選擇性地僅蝕刻到達溝槽底108a之薄的聚合物膜109c。其結果,由於變得難以均一地保持溝槽深度,因此依製造批次而配線性能無法穩定,有產量下降的情形。
又,不僅難以得到最適合於聚合物膜109c與多孔質絕緣層104二者的蝕刻結果,而且當以聚合物膜109c之蝕刻條件蝕刻多孔質絕緣層104時,多孔質絕緣層104的表面變粗造。因此,由於已去除薄的聚合物膜109c後的過度蝕刻,露出溝槽底108a之多孔質絕緣層104的表面變粗造。因此,有上下配線間之絕緣性降低,且連接可靠度降低的情形。
如上述,專利文獻2所記載的方法中,所得到之半導體裝置的連接可靠度降低。因此,有造成半導體裝置之品質下降或產量下降的情形。
依本發明,提供半導體裝置,包含:第1配線;多孔質絕緣層,形成於該第1配線上;第2配線,埋設於該多孔質絕緣層中,且與第1配線電連接;及含碳金屬膜,僅設於該多孔質絕緣層與該第2配線之間,用以隔離該等層。
依本發明,由於包含隔離多孔質絕緣層與第2配線的含碳金屬膜,故抑制金屬的擴散。因此,由於抑制電遷移或應力遷移等的產生,連接可靠度提高,故可提供具有動作保證壽命長之高品質配線的半導體裝置。
而且,由於第1配線與第2配線之間未包含絕緣性的膜,因此第1配線與電連接之第2配線間的接觸電阻變小,形成配線電阻小的高性能配線。因此,半導體裝置的品質提高,產量也提高。
依本發明,提供半導體裝置之製造方法,包含:在第1配線上形成多孔質絕緣層的步驟;在該多孔質絕緣層形成配線溝槽的步驟;使反應性之含碳化合物浸漬在該多孔質絕緣層的步驟;在位於該配線溝槽之側面的該多孔質絕緣層表面,及露出該配線溝槽之底面的該第1配線之表面沉積金屬膜,並藉由將該反應性之含碳化合物所含的碳導入至該金屬膜,以在該多孔質絕緣層表面形成含碳金屬膜的步驟;及在形成有該含碳金屬膜之該配線溝槽形成第2配線的步驟。
依本發明,於多孔質絕緣層表面,藉由將反應性之含碳化合物所含的碳導入至金屬膜,可選擇性地在多孔質絕緣層表面形成含碳金屬膜,而且第1配線表面未形成絕緣膜。如上述,可利用簡單的方法,以含有高阻隔性之碳的金屬膜而有效率地僅覆蓋配線溝槽內的多孔質絕緣層表面,可得到連接可靠度及品質良好,且產品之產量提高的半導體裝置。
本發明之半導體裝置由於配線間之連接可靠度良好,因此品質良好,且產量提高。而且,依本發明之半導體裝置之製造方法,可利用簡單的方法而有效率地得到此種半導體裝置。
<實施發明之最佳形態>
以下使用圖式,說明本發明之實施形態。又所有的圖式中,相同的構成要素標註相同的符號,並適當省略其說明。
(第1實施形態)
本實施形態之半導體裝置如圖2(b)所示,包含:第1配線2;多孔質絕緣層4,形成於第1配線2上;第2配線11,埋設於多孔質絕緣層4中,且與第1配線2電連接;及含碳金屬膜9a,設於多孔質絕緣層4與第2配線11之間,用以隔離該等層。第1配線2與第2配線11之間包含金屬膜9b。在包含第1配線2的底層膜1上,與多孔質絕緣層4之間包含蝕刻停止‧抗擴散膜3。
第1配線2及第2配線11以低電阻的配線材料形成,該材料由例如Cu或Al或者以其等為主成分的合金所構成。蝕刻停止‧抗擴散膜3並無特定,為氮化矽膜(Si3 N4 )或碳化矽膜(SiC)或氮碳化矽膜(SiCN)等,也可使用其等之積層膜。
多孔質絕緣層4由SiOC或SiO2 等構成,係具有2.5以下之低介電常數的多孔質絕緣膜。
含碳金屬膜9a由TiC、TaC、WC或AlC3 等構成。含碳金屬膜9a之膜厚為3nm以上、50nm以下。本實施形態中,以使用膜厚25nm左右之含碳金屬膜9a的例子,進行說明。又,含碳金屬膜9a亦可不含氧原子。
金屬膜9b由鈦(Ti)、鎢(W)、鋁(Al)、鉭(Ta)等形成。
接著,說明本實施形態之半導體裝置之製造方法。
本實施形態之半導體裝置之製造方法包含下列步驟:
(a)在第1配線2上形成多孔質絕緣層4的步驟(圖1(a)~(b))
(b)在多孔質絕緣層4形成配線溝槽(通孔7、溝槽8)的步驟(圖1(b))
(c)使反應性之含碳化合物浸漬在多孔質絕緣層4的步驟
(d)在位於通孔7及溝槽8之側面的多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積金屬膜,並藉由將反應性之含碳化合物所含的碳導入至該金屬膜,以在多孔質絕緣層4表面形成含碳金屬膜9a的步驟(圖1(c))
(e)在形成有含碳金屬膜9a之配線溝槽(通孔7、溝槽8)形成第2配線11的步驟(圖2(a)~(b))
以下依序說明各步驟。
步驟(a):在第1配線2上形成多孔質絕緣層4。
首先如圖1(a)所示,於未圖示之半導體基板上,以化學氣相沉積(CVD,Chemical Vapor Deposition)法在包含第1配線2的底層膜1上形成厚20nm左右的蝕刻停止‧抗擴散膜3。
然後,在蝕刻停止‧抗擴散膜3上形成多孔質絕緣層4。就形成多孔質絕緣層4的材料而言,若為加入加熱或UV(紫外線)照射下易汽化的有機物,然後在CVD法或旋轉塗佈介電層(SOD,Spin On Dielectric)法之成膜步驟或其後之加熱步驟或者UV照射中,有機物因分解等而消失以致形成多孔性的SiOC或SiO2 者,即可使用之。就形成多孔質絕緣層4的材料而言,可舉例如矽氧烷寡聚體、有機矽前驅物、矽氧烷單體等。本實施形態中,塗佈含有界面活性劑及矽氧烷寡聚體的溶液,並於350℃氮環境氣體中鍛燒,可形成由SiO2 構成的低介電常數多孔質絕緣膜。
步驟(b):在多孔質絕緣層4形成配線溝槽(通孔7、溝槽8)。
形成多孔質絕緣層4後,以通常的方法使用光阻遮罩而蝕刻多孔質絕緣層4,形成通孔7及溝槽8。然後,利用灰化去除光阻遮罩(圖1(b))。通孔7貫通多孔質絕緣層4、蝕刻停止‧抗擴散膜3到達底層膜1,而溝槽8具有多孔質絕緣層4之膜厚約1/2的深度。
步驟(c):使反應性之含碳化合物浸漬在多孔質絕緣層4。
步驟(c)中,藉由使得形成有通孔7及溝槽8的多孔質絕緣層4暴露於含有已汽化之反應性之含碳化合物的環境氣體下,以使反應性之含碳化合物浸漬在多孔質絕緣層4中。
反應性之含碳化合物可使用環狀矽氧烷,或者進行氧化反應或還原反應的二級醇等。就環狀矽氧烷而言,可舉例如六甲基二矽氮烷(HMDS)、三甲矽基二甲胺(TMSDMA)、三甲矽基乙醯胺(TMSA)、四甲基環四矽氧烷(TMCTS)、八甲基環四矽氧烷(OMCTS)等;就二級醇而言,可舉例如異丙醇等。該等化合物可混合1種或2種以上而使用。
本實施形態中,於已加熱至350℃左右的減壓爐內,使得形成有通孔7及溝槽8的多孔質絕緣層4暴露於已汽化的六甲基二矽氮烷(HMDS)環境氣體中30分鐘,可使蒸氣擴散至多孔質絕緣層4內部。因此,可認為係以有機矽或有機基團潤飾多孔質絕緣層4內的細孔表面。
然後,以清洗步驟去除附著於第1配線表面的反應性之含碳化合物。
步驟(d):在位於通孔7及溝槽8之側面的多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積金屬膜,並藉由將反應性之含碳化合物所含的碳導入至該金屬膜,以在多孔質絕緣層4表面形成含碳金屬膜9a。
首先,以使用金屬濺鍍靶材、He或Ar等氣體電漿的PVD法,在多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積金屬膜。利用PVD(Physical Vapor Deposition)法的熱,使反應性之含碳化合物從多孔質絕緣層4中消散,將反應性之含碳化合物所含的碳導入至所沉積的金屬膜。藉此,選擇性地在多孔質絕緣層4表面形成含碳金屬膜9a,並利用以PVD法所沉積的金屬,在第1配線2之表面形成金屬膜9b(圖1(c))。又本實施形態中,PVD法的熱包含:被加熱基板的熱,及濺鍍時所產生的熱。
作為金屬濺鍍靶材,可使用鈦(Ti)、鎢(W)、鋁(Al)、鉭(Ta)等,形成較穩定之氧化物、碳化物的金屬之單體或其等之合金。
本實施形態中,以使用Ti靶材、He氣體電漿的PVD法,可在多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積Ti。可在多孔質絕緣層4表面形成TiC膜,作為含碳金屬膜。含碳金屬膜9a的組成可使用奧杰電子能譜術(AES,Auger Electron Spectroscopy)(產品名:PHI650,ULVAC-PHI Inc.製)而測定。又,第1配線2之表面形成Ti膜。
步驟(e):在形成有含碳金屬膜9a之配線溝槽(通孔7、溝槽8)形成第2配線11。
本實施形態中,於設置供電膜後,以電鍍法在通孔7、溝槽8埋設Cu或Al(圖2(a))。然後,以通常的(CMP,Chemical Mechanical Polishing)化學機械研磨法去除多餘的金屬等,形成第2配線11。
然後,以其後通常的處理製造半導體裝置。
以下,說明本實施形態之效果。
本實施形態之半導體裝置包含有隔離多孔質絕緣層4與第2配線11的含碳金屬膜9a。
含碳金屬膜9a係抑制金屬控散的效果高,抑制金屬從第2配線11往多孔質絕緣層4擴散。因此,由於抑制電遷移或應力遷移等的產生,連接可靠度提高,故可提供具有動作保證壽命長之高品質配線的半導體裝置。
而且,由於第1配線2與第2配線11之間未形成含碳金屬膜9a等的絕緣膜,因此配線間的接觸電阻變小,形成配線電阻小的高性能配線。因此,半導體裝置的品質提高,產量也提高。
含碳金屬膜9a可包含TiC、TaC、WC或AlC3
因此,可得到有效地抑制金屬從第2配線11往多孔質絕緣層4擴散,且連接可靠度良好的半導體裝置。
含碳金屬膜9a之膜厚可為3nm以上、50nm以下。
因此,可得到有效地抑制金屬從第2配線11往多孔質絕緣層4擴散,且連接可靠度良好的半導體裝置。
本實施形態之半導體裝置之製造方法中,在浸漬有反應性之含碳化合物之多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積金屬膜。該步驟中,藉由將反應性之含碳化合物所含的碳導入至所沉積的金屬膜,可僅在多孔質絕緣層4表面形成含碳金屬膜9a。而且,第1配線2與第2配線11之間未形成含碳金屬膜9a等的絕緣膜。
依本實施形態,於多孔質絕緣層4表面,藉由將反應性之含碳化合物所含的碳導入至金屬膜,可選擇性地在多孔質絕緣層4表面形成含碳金屬膜9a,而且第1配線2表面未形成絕緣膜。如上述,可利用簡單的方法,得到連接可靠度及品質良好,且產品之產量提高的半導體裝置。
本實施形態中,使多孔質絕緣層4暴露於含有已汽化之反應性之含碳化合物的環境氣體下,以使反應性之含碳化合物浸漬在多孔質絕緣層4中。
以該步驟,可有效率地使多孔質絕緣層4含有反應性之含碳化合物。
本實施形態之反應性之含碳化合物係可利用熱,將碳導入至所沉積的金屬膜。因此,利用濺鍍(PVD法)沉積金屬膜的同時,可利用濺鍍所產生的熱以將碳導入至金屬膜。
利用如此簡便的方法製造半導體裝置,該半導體裝置係選擇性地在多孔質絕緣層4表面形成含碳金屬膜9a,另一方面在第1配線2表面未形成絕緣膜。
本實施形態中,反應性之含碳化合物選自於環狀矽氧烷及二級醇。
該等化合物於已汽化的狀態下容易浸漬於多孔質絕緣層4內,且因濺鍍所產生的熱而蒸發之同時,可輕易地將碳導入至所沉積的金屬膜。因此,可選擇性地在多孔質絕緣層4表面形成含碳金屬膜9a。
(第2實施形態)
本實施形態之半導體裝置如圖4(b)所示,包含:第1配線2;多孔質絕緣層4,形成於第1配線2上;及第2配線11,埋設於多孔質絕緣層4中,且與第1配線2電連接。而且,多孔質絕緣層4與第2配線11之間,從多孔質絕緣層4側依序包含有含碳金屬膜9a及金屬阻障膜10。第1配線2與金屬阻障膜10之間則包含金屬膜9b。
含碳金屬膜9a包含與第1實施形態同樣的金屬碳化物。又,本實施形態中,含碳金屬膜9a之厚度為3nm以上、50nm以下。本實施形態中,以使用膜厚25nm左右之含碳金屬膜9a的例子,進行說明。
本實施形態中,金屬阻障膜10之膜厚為10nm左右。
接著,說明本實施形態之半導體裝置之製造方法。
本實施形態之半導體裝置之製造方法包含下列步驟:
(a)在第1配線2上形成多孔質絕緣層4的步驟(圖3(a)~(b))
(b)在多孔質絕緣層4形成配線溝槽(通孔7、溝槽8)的步驟(圖3(b))
(c)使反應性之含碳化合物浸漬在多孔質絕緣層4的步驟
(d)在位於通孔7及溝槽8之側面的多孔質絕緣層4表面,及露出通孔7之底面的第1配線2之表面沉積金屬膜,並藉由將反應性之含碳化合物所含的碳導入至該金屬膜,以在多孔質絕緣層4表面形成含碳金屬膜9a的步驟(圖3(c))
(e)在含碳金屬膜9a及金屬膜9b上形成金屬阻障膜10的步驟(圖4(a))
(f)在形成有含碳金屬膜9a之配線溝槽(通孔7、溝槽8)內形成第2配線11的步驟(圖4(a)~(b))
以下,僅針對與第1實施形態不同的步驟(e)及步驟(f)進行說明。
步驟(e):在含碳金屬膜9a及金屬膜9b上形成金屬阻障膜10(圖4(a))。
本實施形態中,金屬阻障膜10可以通常的方法形成。作為構成金屬阻障膜10的屬,可使用對於構成第2配線11之金屬的擴散之抑制效果大者。
步驟(f):在形成有含碳金屬膜9a之配線溝槽(通孔7、溝槽8)內形成第2配線11(圖4(a)~(b))
本實施形態中,於含碳金屬膜9a及金屬膜9b表面設置供電膜後,以電鍍法在通孔7、溝槽8埋設Cu或Al(圖4(a))。然後,以通常的CMP法去除多餘的金屬等,形成第2配線11(圖4(b))。
然後,以其後通常的處理製造半導體裝置。
以下,說明本實施形態之效果。
本實施形態之半導體裝置由於在含碳金屬膜9a上包含有金屬阻障膜10,故更加抑制金屬從第2配線11往多孔質絕緣層4擴散。因此,由於抑制電遷移或應力遷移等的產生,連接可靠度提高,故可提供具有動作保證壽命長之高品質配線的半導體裝置。
以上,已參照圖式而說明本發明之實施形態,但該等形態為本發明之例示,亦可採用上述形態以外的各種構成。
第1實施形態之半導體裝置中,已利用含碳金屬膜9a為均一組成的例子進行說明,但多孔質絕緣層4側之含碳金屬膜9a表層的碳濃度也可比第2配線11側之含碳金屬膜9a表層的碳濃度高。
本實施形態之半導體裝置之製造方法中,使用已汽化之反應性之含碳化合物以使反應性之含碳化合物浸漬在多孔質絕緣層4中,但也可使得將反應性之含碳化合物溶解或分散後的溶媒等滲入至孔隙,然後藉由加熱乾燥,以使反應性之含碳化合物浸漬在多孔質絕緣層4中。
1...底層膜
2...第1配線
3...蝕刻停止‧抗擴散膜
4...多孔質絕緣層
7...通孔
8...溝槽
9a...含碳金屬膜
9b...金屬膜
10...金屬阻障膜
11...第2配線
101...底層膜
102...配線(下層配線)
103...蝕刻停止‧抗擴散膜
104...多孔質絕緣層
107...通孔
108...溝槽
108a...溝槽底
109c...聚合物膜
110...Cu阻隔性的TaN膜
111...Cu膜
圖1(a)~1(c)係示意地顯示依第1實施形態之半導體裝置之製造方法的程序剖面圖。
圖2(a)、2(b)係示意地顯示依第1實施形態之半導體裝置之製造方法的程序剖面圖。
圖3(a)~3(c)係示意地顯示依第2實施形態之半導體裝置之製造方法的程序剖面圖。
圖4(a)、4(b)係示意地顯示依第2實施形態之半導體裝置之製造方法的程序剖面圖。
圖5(a)~5(c)係示意地顯示習知的半導體裝置之製造方法的程序剖面圖。
圖6(a)、6(b)係示意地顯示習知的半導體裝置之製造方法的程序剖面圖。
圖7(a)~7(c)係示意地顯示習知的半導體裝置之製造方法的程序剖面圖。
圖8(a)、8(b)係示意地顯示習知的半導體裝置之製造方法的程序剖面圖。
1...底層膜
2...第1配線
3...蝕刻停止‧抗擴散膜
4...多孔質絕緣層
9a...含碳金屬膜
9b...金屬膜
11...第2配線

Claims (13)

  1. 一種半導體裝置,其特徵係包含:第1配線;多孔質絕緣層,形成於該第1配線上;第2配線,埋設於該多孔質絕緣層中,且與該第1配線電連接;及含碳金屬膜,設於該多孔質絕緣層與該第2配線之間,用以隔離該多孔質絕緣層與該第2配線;該含碳金屬膜形成於該多孔質絕緣層的表面;該多孔質絕緣層側之該含碳金屬膜表層的碳濃度,較該第2配線側之該含碳金屬膜表層的碳濃度高;該多孔質絕緣層不含碳。
  2. 如申請專利範圍第1項之半導體裝置,其中,該多孔質絕緣層係由SiO2 所構成。
  3. 如申請專利範圍第1項之半導體裝置,其中,該含碳金屬膜未形成於該第1配線與該第2配線之間。
  4. 如申請專利範圍第1項之半導體裝置,其中,該含碳金屬膜與該第2配線之間包含金屬阻障膜。
  5. 如申請專利範圍第1項之半導體裝置,其中,該含碳金屬膜為TiC、TaC、WC或AlC3
  6. 如申請專利範圍第1項之半導體裝置,其中,該含碳金屬膜之膜厚為3nm以上、50nm以下。
  7. 一種半導體裝置之製造方法,包含:在第1配線上形成多孔質絕緣層的步驟;在該多孔質絕緣層形成配線溝槽的步驟;使反應性之含碳化合物浸漬在該多孔質絕緣層的步驟;在位於該配線溝槽之側面的該多孔質絕緣層表面,及露出該配線溝槽之底面的該第1配線之表面沉積金屬膜,並藉由將該反應性之含碳化合物所含的碳導入至該金屬膜,以在該多孔質絕緣層表面形成含碳金屬膜的步驟;及 在形成有該含碳金屬膜之該配線溝槽形成第2配線的步驟;該使反應性之含碳化合物浸漬的步驟包含:將形成有該配線溝槽的該多孔質絕緣層浸漬於含有該反應性之含碳化合物的溶液,而進行加熱乾燥的步驟。
  8. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該使反應性之含碳化合物浸漬的步驟包含:在含有已汽化之該反應性之含碳化合物的環境氣體下,使反應性之含碳化合物浸漬在該多孔質絕緣層的步驟。
  9. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該反應性之含碳化合物為熱反應性,且該形成含碳金屬膜的步驟包含如下步驟:利用濺鍍,在該多孔質絕緣層表面,及露出該配線溝槽之底面的該第1配線之表面沉積金屬膜,並利用該濺鍍所產生的熱,將該反應性之含碳化合物所含的碳導入至該金屬膜。
  10. 如申請專利範圍第7項之半導體裝置之製造方法,其中,於該形成含碳金屬膜的步驟之後包含如下步驟:在該含碳金屬膜上形成金屬阻障膜。
  11. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該反應性之含碳化合物選自於環狀矽氧烷及二級醇。
  12. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該含碳金屬膜包含TiC、TaC、WC或AlC3
  13. 如申請專利範圍第7項之半導體裝置之製造方法,其中,該含碳金屬膜之膜厚為3nm以上、50nm以下。
TW098135952A 2008-10-24 2009-10-23 半導體裝置及其製造方法 TWI397126B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008274798 2008-10-24

Publications (2)

Publication Number Publication Date
TW201025451A TW201025451A (en) 2010-07-01
TWI397126B true TWI397126B (zh) 2013-05-21

Family

ID=42119171

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098135952A TWI397126B (zh) 2008-10-24 2009-10-23 半導體裝置及其製造方法

Country Status (4)

Country Link
US (1) US8981563B2 (zh)
JP (1) JP5303568B2 (zh)
TW (1) TWI397126B (zh)
WO (1) WO2010047118A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043706B2 (en) * 2013-01-18 2018-08-07 Taiwan Semiconductor Manufacturing Company Limited Mitigating pattern collapse
US10714382B2 (en) * 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11462397B2 (en) * 2019-07-31 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200623271A (en) * 2004-12-22 2006-07-01 Taiwan Semiconductor Mfg Co Ltd Method of forming a semiconductor device having a porous dielectric layer and a semiconductor device thereof
TW200629467A (en) * 2005-02-01 2006-08-16 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and method for manufacturing the same
US20060244152A1 (en) * 2002-12-23 2006-11-02 Abell Thomas J Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
JP2007043018A (ja) * 2005-08-05 2007-02-15 Toshiba Corp 半導体装置
JP2007173511A (ja) * 2005-12-22 2007-07-05 Sony Corp 半導体装置の製造方法
TW200832558A (en) * 2006-09-28 2008-08-01 Tokyo Electron Ltd Method for integrated substrate processing in copper metallization

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7057287B2 (en) 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
JP2007012996A (ja) 2005-07-01 2007-01-18 Toshiba Corp 半導体装置
JP4321570B2 (ja) 2006-09-06 2009-08-26 ソニー株式会社 半導体装置の製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060244152A1 (en) * 2002-12-23 2006-11-02 Abell Thomas J Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
TW200623271A (en) * 2004-12-22 2006-07-01 Taiwan Semiconductor Mfg Co Ltd Method of forming a semiconductor device having a porous dielectric layer and a semiconductor device thereof
TW200629467A (en) * 2005-02-01 2006-08-16 Taiwan Semiconductor Mfg Co Ltd Semiconductor device and method for manufacturing the same
JP2007043018A (ja) * 2005-08-05 2007-02-15 Toshiba Corp 半導体装置
JP2007173511A (ja) * 2005-12-22 2007-07-05 Sony Corp 半導体装置の製造方法
TW200832558A (en) * 2006-09-28 2008-08-01 Tokyo Electron Ltd Method for integrated substrate processing in copper metallization

Also Published As

Publication number Publication date
US8981563B2 (en) 2015-03-17
JP5303568B2 (ja) 2013-10-02
JPWO2010047118A1 (ja) 2012-03-22
US20110204519A1 (en) 2011-08-25
TW201025451A (en) 2010-07-01
WO2010047118A1 (ja) 2010-04-29

Similar Documents

Publication Publication Date Title
JP5554951B2 (ja) 半導体装置の製造方法
TWI291742B (en) Reliability improvement of SiOC etch stop with trimethylsilane gas passivation in Cu damascene interconnects
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
JP2009194072A (ja) 半導体装置の製造方法
US20060261483A1 (en) Semiconductor device and method for manufacturing the same
JP2008147562A (ja) 半導体装置の製造方法及び半導体装置
JP2010278330A (ja) 半導体装置及び半導体装置の製造方法
JP4567587B2 (ja) 半導体装置の製造方法
JP2007227507A (ja) 半導体装置の製造方法および半導体装置
US20040061236A1 (en) Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
TWI397126B (zh) 半導體裝置及其製造方法
US8878364B2 (en) Method for fabricating semiconductor device and semiconductor device
US20060084279A1 (en) Method for forming a multi-layer low-K dual damascene
JP2003273216A (ja) 半導体装置およびその製造方法
KR101077711B1 (ko) 반도체 디바이스 제조 방법
JP2004119539A (ja) レジストパターンの除去方法
JP2005340460A (ja) 半導体装置の形成方法
JP2005340601A (ja) 半導体装置の製造方法及び半導体装置
JP2001110808A (ja) 半導体装置の製造方法
JP2009094123A (ja) 半導体装置の製造方法
JP2011124472A (ja) 半導体装置の製造方法
JP4643975B2 (ja) 半導体装置の製造方法