TWI392017B - 製程處理室中陰極之rf接地 - Google Patents

製程處理室中陰極之rf接地 Download PDF

Info

Publication number
TWI392017B
TWI392017B TW098113783A TW98113783A TWI392017B TW I392017 B TWI392017 B TW I392017B TW 098113783 A TW098113783 A TW 098113783A TW 98113783 A TW98113783 A TW 98113783A TW I392017 B TWI392017 B TW I392017B
Authority
TW
Taiwan
Prior art keywords
substrate support
substrate
grounding
assembly
shadow frame
Prior art date
Application number
TW098113783A
Other languages
English (en)
Other versions
TW201001533A (en
Inventor
John M White
Robin L Tiner
Beom Soo Park
Wendell T Blonigan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201001533A publication Critical patent/TW201001533A/zh
Application granted granted Critical
Publication of TWI392017B publication Critical patent/TWI392017B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

製程處理室中陰極之RF接地
本發明之實施例大致關於用於大面積基材處理之電漿製程設備,且更明確而言,係關於用於前述設備之RF電流返回路徑。
面板顯示器通常用於主動式陣列顯示器,例如電腦及電視螢幕、個人數位助理(PDAs)、行動電話及相關類似者。液晶顯示器(LCD)為面板顯示器的一種,一般包含兩個平板(可能為玻璃或塑膠),其間夾設一層液晶材料。該等平板之至少一者至少包括一導電薄膜,沉積其上以耦接至一電源供應器。來自電源供應器且供應至導電材料薄膜的電源會改變液晶材料的方向,形成顯示器可看見的圖案,例如文字或圖形等。常用於製造面板的製造製程為電漿增強化學氣相沉積(PECVD)。
電漿增強化學氣相沉積通常用於沉積薄膜於一基材上,例如該等用於製造面板等基材。電漿增強化學氣相沉積可藉由將一先驅物氣體引入一內含基材之真空處理室的方式完成。該先驅物氣體常導經一靠近處理室頂部的分配板。藉由一或多個耦接至處理室的RF來源施加RF電源至處理室,可將處理室中的先驅物氣體激發(例如活化)成電漿。激發氣體可再反應以形成一材料層於基材表面(此基材係置於一溫度控制基材支撐件上)。
於沉積期間,基材支撐件須適當的作RF接地,以確保不會有壓降通過基材支撐件表面而影響沉積均勻性。無效的RF接地會致使電漿通過基材支撐件的側邊或下方,而讓吾人不樂見的沉積於該等處發生,不但使處理室難以清潔也相當耗時。某些系統利用低阻抗條體將基材支撐件耦接至處理室本體,以利基材支撐件作RF接地。
第1圖(習知技術)為一例示性習用製程處理室100其部份切除之一簡化概要圖,該處理室100具有數個RF接地條體120,以電性地將基材支撐件140耦接至該處理室100之底部134。基材傳送埠136為一開口,基材可通過該開口而由該製程處理室進出。第1圖係繪有八個條體120,兩個條體120耦接至該基材支撐件140各邊緣。該基材支撐件140通常包括數個升舉銷152,其中某些升舉銷係沿該基材支撐件140之邊緣設置,以於傳送期間升起基材邊緣。該等條體120之每一者包括以一彎折部126結合之第一及第二彎曲部122、124。該等條體120通常與基材支撐件140之外緣對齊,並設有間距以提供空間予升舉銷152,以延伸於基材支撐件140下方。該基材支撐件140可移動於基材裝載位置(其靠近該傳送埠136之下端138)以及一基材沉積位置(大致位於該傳送埠136之上端139之上或附近)之間。V型條體120會根據基材支撐位置而彎曲。
雖然在某些應用中此配置已證明有效且可靠,但其對於某些需要基材支撐件於基材裝載位置及基材沉積位置間移動較遠距離的系統則功效不大,因較長移動距離需要較長的RF接地條體120,此可能會增加RF接地條體之阻抗,並降低條體RF接地能力。
因此,業界對於具有較短電流返回路徑的可靠低阻抗RF接地存有高度需求。
本發明之實施例大致係提供一種用於大型基材製程的RF電流返回路徑。於一實施例中,一用於提供RF電流返回路徑於製程處理室及基材支撐件之間的設備係由製程處理室壁所封圍,該製程處理室壁至少包含一具有基材傳送埠的製程處理室壁、一由該製程處理室壁封圍且適於移動於一製程位置及一非製程位置間的基材支撐件、以及一安裝至製程處理室壁並高於該基材傳送埠的RF接地組件,其中該RF接地組件在基材支撐件處於製程位置時可接觸該基材支撐件。
該RF接地組件更包含一或多個低阻抗檔體,其於基材處理期間可接觸該基材支撐件;以及一或多個低阻抗可彎曲幕狀物(curtain)或條體,其具有第一端及第二端,該第一端可電性連接該製程處理室壁,而第二端可連接至該一或多個低阻抗檔體。
於另一實施例中,一用於提供RF電流返回路徑於製程處理室及基材支撐件之間的設備係由製程處理室壁所封圍,該製程處理室壁至少包含一具有基材傳送埠的製程處理室壁、一由該製程處理室壁封圍且適於移動於一製程位置及一非製程位置間的基材支撐件、以及一安裝至製程處理室壁並高於該基材傳送埠的RF接地組件,其中該RF接地組件在基材支撐件處於製程位置時可接觸該基材支撐件。
該RF接地組件更包含數個RF接地探針,其等可移動於一接觸該基材支撐件之位置(當基材支撐件移動至製程位置時)以及一未接觸基材支撐件之位置(當基材支撐件移至非製程位置時)之間;以及數個制動器,耦接至該等探針並適於控制該等探針移動。
本發明大致係提供用於支撐大面積基材之系統的RF電流返回路徑。本發明例示性描述如下者係參照一電漿增強化學氣相沉積(PECVD)系統,例如該等由加州聖塔克拉拉市的AKT公司(應用材料公司分公司)所上市者。然而,應理解的是本發明亦可適用於其他系統配置,例如物裡氣相沉積系統、蝕刻系統及其他製程系統,其中並需要一基材支撐件及一處理室(遮罩該基材支撐件)間的低阻抗RF電流返回路徑。
本發明之該等實施例可提供RF電漿處理室中之晶座較佳且均勻的RF接地。一般而言,製程處理室再結構上並不一定完全對稱。例如,處理室的一側可能在處理室壁會有一埠口,用以將基材傳送進出該製程處理室。該處理室壁為RF電漿處理室中RF電路的一部份。該處理室一側中的傳送埠口(或孔)會使得製程處理室無法對稱。此非對稱會降低RF接地的均勻性,而導致較差的電漿均勻性病劣化製程表現。
於第1圖中的配置已證明在某些應用上有效且可靠,然而此對需要基材支撐件於基材裝載位置及基材沉積位置間移動較長距離的系統效用並不大。較長的移動距離使得RF接地條體需更長,而使RF接地條體的阻抗增加,並降低條體的RF接地能力。阻抗Z係由電阻R及電抗X組成。方程式1係表示阻抗、電阻及電抗間的關係,其中「j」為虛數。
Z=R+jX (1)
由於電阻R及電抗X兩者都會增加RF接地條體的長度(見第2及第3圖),較長的RF接地條體便需要較高的阻抗。
R=ρ1/A (2)
ρ為RF接地條體的電阻,方程式(2)中可變動的1為RF接地條體的長度,而A是RF接地條體的截面積。
X=2□fL (3)
f為頻率(在此範例為RF)且L為RF接地條體的電感。
若欲使RF接地條體更有效率的發揮,阻抗必須要低。若阻抗增加,基材支撐件上會有電位差,而不利的影響沉積均勻性。此外,沒有效率的RF接地會使電漿移至基材支撐件側邊及下方,使該等區域出現不樂見的沉積,不但讓清難以進行且相當耗時。如第1圖所示,由於RF接地條體的長度增加,RF接地條體所增加的阻抗會使RF接地條體在處理較大基材系統的RF接地上沒有效率。
本發明之實施例係描述該等用於經改善之晶座(或基材支撐件)RF接地的設備及方法,以使製程處理室中的結構不對稱性不會影響電漿及製程的均勻性。
第2圖(習知技術)係一電漿增強化學氣相沉積系統200之一實施例的概要截面圖,其係AKT公司所上市,即加州聖塔克拉拉市應用材料公司的分公司。該系統200大致包含一耦接至一氣體源204的製程處理室202。該製程處理室202俱有數個壁206及一底面208,以部分地界定出一製程體積212。該製程體積212通常可經由該等壁206之一埠口230進出,以利基材240進出於該製程處理室202。
於第2圖中,該入口埠230係位於上端231及下端233之間。該壁206及底部208通常由一單一鋁塊體或其他適於製程的材料製成。該壁206可支撐一蓋組件210。
一溫度控制基材支撐件238設於該製程處理室202內中心處。於製程期間該支撐組件238可支撐一基材。於一實施例中,該基材支撐組件238包括一基材支撐本體224,其係由導電性材料製成(例如鋁),以遮蓋至少一內嵌的加熱器232。該加熱器232(例如電阻加熱器)設於該支撐組件238中,可控制地將該支撐組件238及其上之基材240加熱至一預定溫度。典型來說,於一CVD製程中,該加熱器232可將基材240維持在一攝氏介約150到至少約460度的均勻溫度,取決於欲沉積材料的沉積製程參數。
一般而言,該支撐組件238具有一下端226及一上端234。該上端234可支撐基材240。該下端226具有一相耦接之軸桿242。該軸桿242可將支撐組件238耦接至一升舉系統(未示出),以將支撐組件移動於一升高製程位置(如圖所示)及一利於基材傳送進出該製程處理室202的降低位置之間。該軸桿242更可於該支撐組件238及系統200的其他元件間提供一用於電及熱電偶的導管。
一折箱(bellows)246係耦接於支撐組件238(或軸桿242)及該製程處理室202之底面208之間。該折箱246可提供該處理室體積212及製程處理室202外界大氣間的真空密封,同時可垂直移動該支撐組件238。
該支撐組件238一般係由RF接地路徑244連接,以於該支撐件本體224及接地端間提供低阻抗RF返回路徑。該RF接地返回路徑244可直接或間接耦接至接地端,例如,經由該處理室本體202,且該處理室本體可經由地線255自行接地。於製程期間該支撐組件238通常為RF接地,以使來自電源222的RF電源可提供至一氣體分配板組件218(設於蓋組件210及基材支撐組件238之間、或位於處理室蓋組件內或附近的其他元件),以激發該支撐組件238及氣體分配板組件218間之該製程體積212中的氣體。來自電源222之該RF電源通常係依據基材尺寸做選擇,以驅動化學氣相沉積製程。
該支撐組件238更可支撐外切處的遮蔽框體248。一般而言,該遮蔽框體248可避免沉積在基材240及支撐組件238邊緣處,以使基材不會黏在支撐組件238。該支撐組件238穿設有數個孔洞228,以接取數個升舉銷250。該等升舉銷250一般係由陶瓷或電鍍鋁製成。該等升舉銷250可藉由一選擇性的升舉板254相對於該支撐組件238啟動,以由該支撐件表面234突出,藉以將基材以與支撐組件238相隔一距離的方式作置放。
該蓋組件210可形成製程體積212的上邊界。該蓋組件210一般可移除或開啟以維修該製程處理室202。於一實施例中,該蓋組件210係由鋁(aluminum)製成。該蓋組件210一般包括一入口埠205,以讓氣體源204的製程氣體引入該製程處理室202。該入口埠205也耦接至一清潔源207。該清潔源207一般可提供清潔劑,例如經解離的氟,其可引入製程處理室202以將沉積副產物及薄膜自製程處理室硬體移除,包括氣體分配板組件218。
該氣體分配板組件218係耦接至該蓋組件210上板221的內側220。蓋組件210的上板221及側壁211係由一絕緣體213分隔,以避免電弧。該氣體分配板組件218一般係經配置以實質配合基材240的輪廓,例如,多邊形的大面積面板基材及圓形晶圓。該氣體分配板組件218包括一穿孔區域216,以讓來自氣體源204的製程氣體及其他氣體可傳遞至該製程體積212。該氣體分配板組件218的穿孔區域216係經配置以提供均勻的氣體分配,使之通過氣體分配板組件218進入製程處理室202。
該氣體分配板組件218一般包括一擴散板(或分配板)258,由一懸掛板260懸置。該擴散板258及懸掛板260或也可包含一單一元件。數個氣體通道262穿設於該擴散板258,以讓預定氣體分配量通過氣體分配板組件218,並進入製程體積212。該懸掛板260可將該擴散板258及該蓋組件210的內表面220維持相間隔關係,因此於其間界定出一空間264。該空間264可讓氣體流經蓋組件210,以均勻遍及該擴散板258的寬度,因此氣體可均勻供應於中央穿孔區216的上方,並均勻流經氣體通道262。該擴散板258可為半導體晶圓般的圓形或多邊形,例如矩形,以用於面板顯示器製造。
第3A圖係說明非基材處理期間基材支撐組件238之一基材支撐本體224的相對位置,以及一例示性RF接地組件280。該基材支撐組件238位於非基材製程位置,且並未與RF接地組件280接觸。該RF接地組件280係位於一或多個接地安置架(rest-pieces)235上,且於非基材處理期間係由該接地安置架235做支撐。該基材支撐本體224上方具有一基材240並具有一或多個接取凸架(pick-up ledge)290,環繞該基材支撐本體224上表面的外緣。該接取凸架290會接取RF接地組件280,以在基材支撐組件238位於基材製程位置時將之舉離一或多個接地安置架235。該RF接地組件280置於該傳送埠開口230之上,並接附於處理室壁206,且可支撐遮蔽框248(覆蓋基材支撐組件的整個邊緣)。於一實施例中,該遮蔽框約3英吋至5英吋寬,約1/2至約1英吋厚。該遮蔽框248及擴散板258間並有一間距。
第3B圖係表示第3A圖圓圈A的元件放大圖。該RF接地組件280係藉由可應用的方式接附於處理室壁206,例如熔接、焊接、焊銅或接附裝置286。該RF接地組件280也由一或多個低阻抗可彎曲幕狀物284組成,其係接附於處理室壁206,及一或多個已接取檔體282。該一或多個可彎曲幕狀物284可藉由可實施方式接附至該一或多個已接取檔體282,例如熔接、焊接、焊銅或接附裝置288。
該低阻抗可彎曲幕狀物284應以高導電性之可彎曲材料製成,例如鋁薄片。該一或多個已接取檔體282應由低阻抗(或高導電性)檔體製成,例如鋁檔體。該一或多個已接取檔體282可支撐遮蔽框248,並由接取凸架290接取已於基材處理期間(例如沉積)接觸該基材支撐本體224,以提供RF返回路徑,參照下文第3C及3D圖。每一接取檔體282係由至少一個接取凸架承接。
第3C圖係說明基材處理期間(例如沉積)該基材支撐組件238之一基材支撐本體224及一RF接地組件280的相對位置。該基材支撐組件係位於基材製程位置,例如沉積位置。於製程期間,該基材支撐本體224(為基材支撐組件238之一部分)會上升接近擴散板258。接取凸架290會接取一或多個已接取檔體282以將RF接地組件280舉離該一或多個接地安置架235,並於基材支撐本體224及RF接地組件280間作接觸。
第3D圖係表示第3C圖之圓圈B元件的放大圖。幕狀物284會因接取凸架290緊密升起該接取檔體282而推向處理室壁206。該遮蔽框248(一般經電鍍)會部分覆蓋基材240的邊緣,即遮蔽框248的凹處225。該遮蔽框係由基材240邊緣所支撐,並置放陶瓷鈕223以避免電弧,而已接取檔體282則位於該基材支撐本體224上。
第3A-3D圖中的幕狀物可繞整個基材支撐本體224或本體224邊緣之一部份連續延伸。於一實施例中,單一幕狀物284會大致繞該本體224整個邊緣連續延伸(例如,以使該RF接地組件280能在其位於基材製程位置時接觸多邊形本體224的邊緣)。或者,多個幕狀物284可彼此鄰設以大致繞該本體224的整個邊緣延伸,其中至少一幕狀物在其位於製程位置時可耦接至該多邊形支撐組件238的各邊。多個幕狀物284可連接至一或多個已接取檔體282。
第3E圖係表示一例示性導電幕狀物284在其平放時的態樣。該幕狀物284具有一寬度271及長度273。該導電幕狀物284具有孔洞286H及288H以允許連接元件286及288,例如螺栓,通過而接附至處理室壁突出部即已接取檔體282。該導電性幕狀物具有數個開口275以在製程之前、期間以及之後讓反應性物種通過。該等開口275可為任何形狀或位於該幕狀物的任何位置。第3E圖所示之形狀僅用於示範。該導電性(或低阻抗)幕狀物284可選擇性的具有額外孔洞281,以幫助該反應性物種的通過。該額外孔洞281可為任何形狀。於一實施例中,數個幕狀物284(其每一者皆具有約3英吋至5英吋的寬度,以及約5英吋至約7英吋的長度)係平均的間隔設置在多邊形本體224周圍,並連接至處理室壁206以及一或多個已接取檔體282。
此外,於製程期間,處理室202中靠近處理室底部208的溫度可介於攝氏50至130度,支撐組件238表面上(於製程期間接觸基材240)則上升至攝氏400度。處理室壁206的溫度可為50至350度,低於基材支撐件224溫度。因此,幕狀物284耦接至已接取檔體的端點係與基材支撐組件224接觸,其相較於幕狀物284端點(耦接至處理室壁206)一般係受到較大程度的熱膨脹。此在膨脹上的變化會使幕狀物284變形,以不樂見的方式影響幕狀物284的功能及有效壽命。為抵銷熱差異,可有至少一個穿孔(或開口)275形成在幕狀物284之中接近該等端點處(耦接至該已接取檔體以允許幕狀物284的熱膨脹)。於一實施例中,數個寬度約0.5英吋至約1英吋的穿孔275會以約每2英吋至約4英吋的間隔沿該耦接至已接取檔體之幕狀物284的端點設置。
該幕狀物284係由可彎曲、低阻抗導電金屬組成,其可抵抗製程及清潔化學物。於一實施例中,該幕狀物284係由鋁薄片製成,且厚約0.008英吋至約0.016英吋。或者,該幕狀物284可包含鈦、不銹鋼或一塗覆有導電金屬塗層的可彎曲材料。
電流係由電漿流至基材240,基材係電性接觸支撐組件238的導電本體224。電流由本體224通過RF接地幕狀物284及處理室壁突出部213置處理室壁206及處理室底部208,其等均經由接地件255接地。與習知RF接地技術相比,該幕狀物284可明顯縮短製程期間RF電流的返回路徑。習知基材支撐件224底部及處理室底部208間的RF接地條體可約為20英吋或更長,而已接取檔體及處理室壁206間的RF接地幕狀物284距離可約為3英吋至約5英吋或更短。
此外,該幕狀物284可提供較大的電流承載區域,其可使之理想的適用於大面積製程應用。較短的距離及幕狀物284的大電流承載能力可使支撐組件238及接地處理室202整個表面有較低的電壓差,因而實質降低非均勻電漿沉積的可能性及基材支撐件224側邊及底下不樂見的沉積。
一或多個已接取檔體282可支撐遮蔽框248。每一已支撐檔體282可繞整個基材支撐本體224或該本體224邊緣之一部份連續延伸。於一實施例中,一單一接取檔體282可大致繞該本體224(例如,以讓已接取檔體282在其位於製程位置時可接觸多邊形本體224的邊緣)的整個邊緣連續延伸。或者,數個檔體282可彼此鄰設以在該支撐組件位於基材製程位置時(例如沉積位置)大致繞該本體224的整個邊緣延伸。
該檔體282係由一可彎曲、低阻抗導電金屬(可抵抗製程及清潔化學物)組成。於一實施例中,該檔體282係由鋁組成,且具有約1/4英吋至約3/4英吋乘以約1/4至約3/4的截面積。或者,該檔體282可包含鈦、不銹鋼或或一塗覆有導電金屬塗層的可彎曲材料。
在某些沉積處理室中需要數個遮蔽框248,這是因其可避免沉積在基材240及基材支撐本體224邊緣處,以讓基材240不會黏在基材支撐本體224。於某些製程處理室中也可不需要該遮蔽框。於依不需要遮蔽框的製程處理室中,該RF接地組件280可獨立存在而無需遮蔽框248。第3F圖係表示第3A中之該實施例。
在基材支撐件224移至基材製程位置(例如沉積)時,該接取凸架290會接取一或多個已接取檔體282。於基材處理期間,該接取凸架290的表面會與已接取檔體接觸以形成電性連接。該接取凸架290可為一環繞該基材支撐件整個周圍的連續凸架,或可劃分為數個以未有凸架之外緣區域分隔的凸架。該凸架的寬度至少為0.01英吋,且較佳至少為0.1英吋,以滿足接取該已接取檔體282的功能。該接取凸架290的高度須經設計可容納該已接取檔體282的高度及基材240厚度,以讓該已接取檔體282之上表面與基材240之表面為相同高度。該接取凸架290應環繞整個基材支撐件224或僅環繞基材支撐本體224之一部份,取決於該RF接地組件280之已接取檔體282的設計。
第4A圖係說明非基材製程位置期間該基材支撐組件238之基材支撐本體224及另一例示性RF組件280a之相關位置。該基材支撐件本體224並非位於基材製程位置,且與RF接地組件280a相隔。該RF接地組件280a位於一或多個接地安置架235上,且於非製程期間係由該安置架235支撐。該基材支撐件本體224上方具有一基材240,且具有一接取凸架290環繞該基材支撐件本體224上表面之外緣。該接取凸架290可接取RF接地組件280a,並在基材支撐組件238移至基材製程位置(例如沉積)時,將之舉離接地安置架235。該RF接地組件280a係置於該傳送埠開口230上,並支撐遮蔽框248。該RF接地組件280a可具有一或多個連接塊289,以讓其耦接至處理室壁206。該RF接地組件280a也可直接接附於該處理室壁206而無需連接塊289。該遮蔽框248及散流板258之間並留有空間。
第4B圖係表示第4A圖圓圈C中元件的放大圖。該RF接地組件280a係藉由可應用的裝置(例如熔接、焊接、焊銅或接附裝置287)接附至該處理室壁206上之一或多個連接塊289。該RF接地組件280a也由多個低阻抗可彎曲條體285組成,其係接附於連接塊289及一或多個已接取檔體282。該條體285係藉由可應用的裝置接附至該一或多個已接取檔體282,例如熔接、焊接、焊銅或接附裝置283。
該低阻抗條體285應由高導電性之可彎曲材料製成,例如鋁條。於一實施例中,各條體285含有至少一彎曲部291,以使彎曲之V型條體可作壓縮或釋放,而上移至基材處理(例如沉積)位置,及下移至非基材製程位置。該彎曲部291可呈原形以改善彎曲可靠度,並減少金屬破壞的發生率。該一或多個已接取檔體282應由低阻抗(或高導電性)檔體製成,例如鋁檔體。該檔體282可至少包含鈦、不料銹鋼或可塗覆導電金屬塗層之固體材料。該一或多個已接取檔體282可支撐遮蔽框248,並由接取凸架290接取以於基材處理期間與該基材支撐件本體224接觸,而形成RF返回路徑(參見後文第4C及4D圖)。
第4C圖係說明基材製程期間該基材支撐組件238之一基材支撐件本體224及第4A圖RF接地組件280a之相關位置。該基材支撐件本體224係位於基材製程(例如沉積)位置。該基材支撐件本體224及RF接地組件280a係經由接取檔體282及基材支撐件本體224間之接觸點進行接觸。於製程期間,該基材支撐件本體224(為基材支撐組件238之一部份)係上移靠近擴散板258。該接取凸架290可接取已接取檔體282,並接觸於基材支撐件本體224及RF接地組件280a之間。第4D圖係表示第4C圖之圓圈D中元件的放大圖。
數個條體285可設置彼此鄰近以延伸大致繞該本體224整個邊緣,其中至少一幕狀物在基材支撐件240位於基材製程位置時係耦接至該多邊形支撐組件238的每一邊。多個條體285係連接至一或多個已接取檔體282。於一實施例中,數個條體285之每一者寬度271約1/4英吋至約3/4英吋,而長度273約4英吋至6英吋,且厚度約0.008英吋至約0.016英吋,且該等條體系位於多邊形本體224之每一邊緣上。於一實施例中,該彎曲部291大致位於條體285的中間處。該等條體285係由可抵抗製程及清潔化學物之可彎曲、低阻抗導電金屬製成。於一實施例中,該等條體285係由鋁製成。或者,該等條體285可包含鈦、不銹鋼或一塗覆有導電金屬塗層的可彎曲材料。
第4E圖係表示一導電條體285平放時之一實施例。該導電條體285具有數個孔洞287H及283H,以讓連接元件287及283(如螺栓)可通過以連接至連接塊289即已接取檔體282。於一實施例中,該條體285包含至少一彎曲部291,位於接附至該連接塊289之該端以及接附至已接取檔體282之該端之間。該具有一彎曲部291之條體285側面看係成V型。該彎曲部291方向係大致平行於該連接塊289及已接取檔體之邊緣。該彎曲部291乃預先形成於該條體285中,以增加該條體285的使用壽命,且藉垂直移動該支撐組件238傳至條體285的重複應力可能會使彎曲部291破裂,迫使條體285位移。
第4F圖係表示多個條體285係彼此鄰近且係接附至連接塊289及已接取檔體282。該等導電條體285間的空間可使反應性物種通過。
與習知RF接地技術相比,該等條體285可明顯縮短製程期間RF電流至接地端的返回路徑。習知基材支撐件224及處理示底部208間的RF接地條體可為20英吋或更長,而已接取檔體282及連接塊289間該RF接地條體285的距離可約為4英吋至約6英吋或更短。由電漿至基材240的電流係與支撐組件238導電本體224呈電性接觸。由本體224通過RF接地條體285及連接塊289而至處理室壁206的電流係經由接地端255接地。
此外,該等條體285可提供大電流承載能力,其可較佳的適用在大面積製程應用中。條體285有較短距離(低阻抗)及大電流承載能力可使支撐組件238表面及接地處理室202間有較小的電壓差,以實質降低非均勻電漿沉積的可能性及基材支撐件224側邊及底下不樂見的沉積。
第4A-4F圖中該已接取檔體282及接取凸架290的設計類似於第3A-3E圖中RF接地組件280所述者。此外,與第3A-3E圖中所述之RF接地組件設計相似的是,對未有遮蔽框的製程處理室而言,第4A-4F圖中所述之該RF接地組件280a可單獨設置而無需遮蔽框258。
第3A-3F圖中所示之RF接地幕狀物284及第4A-4F圖中所示之接地條體285可承受較第1圖習知RF接地條體為低的彎曲。
第5圖係描述本發明另一實施例之截面圖。該基材支撐組件238之基材支撐件本體224係以一或多個RF接地組件295(其包括RF接地探針293)作RF接地。該RF接地探針293可移動於一在基材製程(例如沉積)期間接觸基材支撐件本體224之位置(如第5圖)以及一於非基材處理期間(見第6圖)未有基材支撐件本體224之位置之間。該RF接地探針293可經由具有真空密封裝置(例如第5圖中以軸承297密封之真空密封、或第6圖中之密封折箱296)之處理室壁206插入。第5圖中具有軸承297之真空密封及第6圖中的密封折箱296可為導電性,且可將該探針293RF接地至處理室壁206。若第5圖中具有軸承297之真空密封及第6圖中的密封折箱296非導電性,該等探針293可經由接地端257作RF接地。該RF接地探針293係位於基材傳送埠230之上,以讓其等可於基材製程期間接觸基材支撐件224,而不受基材傳送的影響。致動器294可與RF接地探針293互動以控制RF接地探針293的移位。該致動器294可為螺線管線性致動器、氣動式或液壓汽缸或是其他適於移動RF接地探針293以接觸/不接觸基材支撐件本體224的裝置。該致動器294可連接至一控制器(此處未示出)以於基材製程期間移動該探針293與基材支撐件240接觸。
數個探針293可彼此鄰近以大致繞該本體224之整個邊緣延伸,其中在基材支撐件240位於基材製程位置時,至少一探針會接觸該多邊形支撐組件238的每一邊緣。較佳而言,沿該多邊形基材支撐件240至少每約4英吋至8英吋便設一探針。於一實施例中,該等探針之尺寸約3/8英吋至約1/2英吋。
該等探針293係由可抵抗製程及清潔化學物之低阻抗導電金屬製成。於一實施例中,該等探針293係由鋁製成。或者,該等探針293可包含鈦、不銹鋼或一塗覆有導電金屬塗層的可彎曲材料。
與習知RF接地技術相比,該等探針293可明顯縮短製程期間RF電流至接地端的返回路徑。習知基材支撐件224底部及處理室底部208間的RF接地條體可約為20英吋或更長,而基材支撐件224及接地處理室壁206間接觸基材支撐件224之RF接地探針293長度可約為2英吋至約3英吋或更短。較佳而言,接觸基材支撐件224之該RF接地探針293的長度,即基材支撐件224及接地處理室壁206間之距離約為10英吋或更短。由電漿至基材240之電流係與支撐組件238之導電本體224作電性接觸。由本體224經RF接地探針293至處理室壁206之電流係經由接地端255作接地。
此外,探針293可提供大電流承載能力,其可使之理想適用於大面積製程應用中。該幕狀物284較短的距離(低阻抗)及大電流承載能力可使支撐組件238表面及接地處理室202間有較小的電壓差,因而實質降低非均勻電漿沉積的可能性及基材支撐件224側邊及底下不樂見的沉積。
因此,由於本發明之該等實施例可提供較短之RF返回路徑,故對大面積基材處理領域具有明顯優勢。本發明之該等實施例也可應用至任何電漿製程系統中。本發明之該等實施例並提供可有效限制RF電流返回路徑中的電壓降,且適用於大型製程系統,例如該等用於製造面板及液晶顯示器的系統。在基材支撐件需移動長距離時本發明之該等實施例可優於習知RF接地技術。然而,本發明之該等實施例對於習知基材支撐件不需移動長距離之電漿製程系統的RF接地技術也同樣適用。
雖然前述內容係關於本發明之實施例,然本發明進一步的實施例也可於不悖離其基本精神及範圍下進行變化,且其範圍應由下文申請專利範圍界定之。
100...習用製程處理室
120...RF接地條體
122...第一彎曲部
124...第二彎曲部
126...彎折部
136...基材傳送埠
138...下端
139...上端
140...基材支撐件
152...升舉銷
200...電漿增強化學氣相沉積系統
202...製程處理室
204...氣體源
205...入口埠
206...壁
207...清潔氣體源
208...底部
210...蓋組件
212...製程體積
216...中央穿孔區
218...氣體分配板組件
220...內側
221...上板
224...基材支撐本體
230...埠口
231...上端
232...加熱器
233...下端
234...基材支撐件表面
235...安置架
238...基材支撐件
240...基材
242...軸桿
244...RF接地路徑
246...折箱
248...遮蔽框
250...升舉銷
254...升舉板
255...地線
258...擴散板
260...懸掛板
262...氣體通道
264...空間
280...RF接地組件
281...孔洞
282...已接取檔體
283...接附裝置
284...可彎曲幕狀物
285...可彎曲條體
287...接附裝置
288...接附裝置
289...連接塊
290...接取凸架
291...彎曲部
為更詳細瞭解本發明前述特徵,本發明摘要如上的進一步明確描述可參照其實施例,如附加圖示所表示者。然而應了解的是,附加圖示僅用於說明本發明之一般實施例,故不應視為是本發明範圍的限制,本發明亦應涵蓋其他等效實施例。
第1圖係一習知具有RF電流返回路徑之製程系統的部分概要圖。
第2圖係一闡示性製程處理室之概要截面圖。
第3A圖係本發明一RF接地組件係於第2圖製程處理室中之非基材處理期間之一實施例的概要截面圖。
第3B圖係第3A圖圓圈A之放大部分側邊截面圖。
第3C圖係本發明一RF接地組件於第2圖製程處理室中之基材處理期間之一實施例的概要截面圖。
第3D圖係第3C圖圓圈B之放大部分側邊截面圖。
第3E圖係RF接地幕狀物之一實施例的俯視圖。
第3F圖係本發明一RF接地組件於第2圖製程處理室之一實施例的概要截面圖。
第4A圖係本發明一RF接地組件於第2圖製程處理室中之非基材處理期間之另一實施例的概要截面圖。
第4B圖係第4A圖圓圈C之放大部分側邊截面圖。
第4C圖係本發明一RF接地組件於第2圖製程處理室中之基材處理期間之另一實施例的截面概要圖。
第4D圖係第4C圖圓圈D之放大部分側邊截面圖。
第4E圖係一RF接地條體之實施例的俯視圖。
第4F圖係兩RF接地條體耦接至一接取擋體(picked-up block)及一連接塊的概要側視圖。
第5圖係本發明一RF接地組件於第2圖製程處理室中之基材處理期間之另一實施例的截面概要圖。
第6圖係本發明一RF接地組件於第2圖製程處理室中之非基材處理期間之另一實施例的截面概要圖。
為便於說明,文中儘可能使用相同參考標號來標示相同元件。圖式中的圖示均為概要式故未按比例繪製。
200...電漿增強化學氣相沉積系統
202...製程處理室
204...氣體源
205...入口埠
206...壁
207...清潔氣體源
208...底部
210...蓋組件
212...製程體積
216...中央穿孔區
218...氣體分配板組件
220...內側
221...上板
224...基材支撐本體
230...埠口
231...上端
232...加熱器
233...下端
234...基材支撐件表面
235...安置架
238...基材支撐件
240...基材
242...軸桿
244...RF接地路徑
246...折箱
248...遮蔽框
250...升舉銷
254...升舉板
255...地線
258...擴散板
260...懸掛板
262...氣體通道
264...空間
280...RF接地組件
281...孔洞
282...已接取檔體
283...接附裝置
284...可彎曲幕狀物
285...可彎曲條體
287...接附裝置
288...接附裝置
289...連接塊
290...接取凸架
291...彎曲部

Claims (18)

  1. 一種電漿增強化學氣相沉積設備,其至少包括:一氣體分配板組件;一室體,其具有一基材傳送埠穿過一室壁;一RF接地組件,在該基材傳送埠與該氣體分配板組件之間耦接至該室壁;一基材支撐件,配置於該室體中且可移動於一第一位置與一第二位置之間,該第一位置與該RF接地組件有所間隔而該第二位置與該RF接地組件接觸。
  2. 如申請專利範圍第1項所述之設備,其中該基材支撐件包括一或更多接取凸架。
  3. 如申請專利範圍第2項所述之設備,其更至少包括:一或更多RF接地路徑,耦接至該基材支撐件之底部與該室體之底部。
  4. 如申請專利範圍第3項所述之設備,其更至少包括一遮蔽框。
  5. 如申請專利範圍第4項所述之設備,其中該遮蔽框可移動於一第三位置與一第四位置之間,該第三位置在該基材支撐件位於該第一位置中時與該基材支撐件有所間 隔而該第四位置在該基材支撐件位於該第二位置中時與該基材支撐件接觸。
  6. 如申請專利範圍第5項所述之設備,其中該遮蔽框在該遮蔽框位於該第三位置中時耦接至該RF接地組件。
  7. 如申請專利範圍第1項所述之設備,其更至少包括:一或更多RF接地路徑,耦接至該基材支撐件之底部與該室體之底部。
  8. 如申請專利範圍第7項所述之設備,其更至少包括一遮蔽框。
  9. 如申請專利範圍第8項所述之設備,其中該遮蔽框可移動於一第三位置與一第四位置之間,該第三位置在該基材支撐件位於該第一位置中時與該基材支撐件有所間隔而該第四位置在該基材支撐件位於該第二位置中時與該基材支撐件接觸。
  10. 如申請專利範圍第9項所述之設備,其中該遮蔽框在該遮蔽框位於該第三位置中時耦接至該RF接地組件。
  11. 如申請專利範圍第10項所述之設備,其中該遮蔽框可 移動於一第三位置與一第四位置之間,該第三位置在該基材支撐件位於該第一位置中時與該基材支撐件有所間隔而該第四位置在該基材支撐件位於該第二位置中時與該基材支撐件接觸。
  12. 如申請專利範圍第11項所述之設備,其中該遮蔽框在該遮蔽框位於該第三位置中時耦接至該RF接地組件。
  13. 如申請專利範圍第1項所述之設備,其更至少包括一遮蔽框。
  14. 如申請專利範圍第13項所述之設備,其中該遮蔽框可移動於一第三位置與一第四位置之間,該第三位置在該基材支撐件位於該第一位置中時與該基材支撐件有所間隔而該第四位置在該基材支撐件位於該第二位置中時與該基材支撐件接觸。
  15. 如申請專利範圍第14項所述之設備,其中該遮蔽框在該遮蔽框位於該第三位置中時耦接至該RF接地組件。
  16. 如申請專利範圍第1項所述之設備,其中該RF接地組件包括鋁。
  17. 如申請專利範圍第1項所述之設備,其中該RF接地組件包括一可彎曲帶,其寬度係約1/4英吋至約3/4英吋而其長度係約4英吋至約6英吋。
  18. 如申請專利範圍第1項所述之設備,其中該RF接地組件包括一以一導電金屬塗層塗覆之材料。
TW098113783A 2004-09-21 2005-07-27 製程處理室中陰極之rf接地 TWI392017B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/946,403 US7534301B2 (en) 2004-09-21 2004-09-21 RF grounding of cathode in process chamber

Publications (2)

Publication Number Publication Date
TW201001533A TW201001533A (en) 2010-01-01
TWI392017B true TWI392017B (zh) 2013-04-01

Family

ID=36072681

Family Applications (2)

Application Number Title Priority Date Filing Date
TW094125453A TWI314759B (en) 2004-09-21 2005-07-27 Rf grounding of cathode in process chamber
TW098113783A TWI392017B (zh) 2004-09-21 2005-07-27 製程處理室中陰極之rf接地

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW094125453A TWI314759B (en) 2004-09-21 2005-07-27 Rf grounding of cathode in process chamber

Country Status (5)

Country Link
US (2) US7534301B2 (zh)
JP (2) JP5033319B2 (zh)
KR (2) KR101441892B1 (zh)
CN (2) CN1752281B (zh)
TW (2) TWI314759B (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
JP2006313313A (ja) 2005-04-06 2006-11-16 Sony Corp 再生装置、設定切替方法および設定切替装置
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
WO2008079742A2 (en) * 2006-12-20 2008-07-03 Applied Materials, Inc. Prevention of film deposition on pecvd process chamber wall
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
JP5371785B2 (ja) * 2007-03-01 2013-12-18 アプライド マテリアルズ インコーポレイテッド Rfシャッター
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US8597428B2 (en) * 2007-12-12 2013-12-03 Lam Research Corporation Vacuum sealing radio frequency (RF) and low frequency conducting actuator
KR100929153B1 (ko) * 2007-12-27 2009-12-01 세메스 주식회사 전원 전송부재 및 이의 제조 방법
US20090255798A1 (en) * 2008-04-12 2009-10-15 Gaku Furuta Method to prevent parasitic plasma generation in gas feedthru of large size pecvd chamber
WO2010042860A2 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
JP2010161316A (ja) * 2009-01-09 2010-07-22 Ulvac Japan Ltd プラズマ処理装置
TWI558843B (zh) * 2009-02-04 2016-11-21 應用材料股份有限公司 用於電漿製程的接地回流路徑
JP5828836B2 (ja) * 2009-05-13 2015-12-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 陽極処理されたシャワーヘッド
KR101081744B1 (ko) * 2009-08-17 2011-11-09 주성엔지니어링(주) 기판처리장치
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
KR101127757B1 (ko) * 2009-12-02 2012-03-23 주식회사 테스 서셉터 접지유닛, 이를 이용하여 서셉터 접지의 가변방법 및 이를 갖는 공정챔버
KR101039524B1 (ko) 2010-02-19 2011-06-09 주성엔지니어링(주) 플라즈마 처리 장치
TW201145440A (en) * 2010-06-09 2011-12-16 Global Material Science Co Ltd Shadow frame and manufacturing method thereof
KR101103450B1 (ko) * 2010-07-27 2012-01-09 주식회사 케이씨텍 기판 도금 장치
TW201324818A (zh) * 2011-10-21 2013-06-16 Applied Materials Inc 製造矽異質接面太陽能電池之方法與設備
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
WO2013078434A1 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US9340866B2 (en) 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9633823B2 (en) * 2013-03-14 2017-04-25 Cardinal Cg Company Plasma emission monitor and process gas delivery system
WO2015116245A1 (en) 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
CN104294206B (zh) * 2014-10-09 2016-05-04 沈阳富创精密设备有限公司 一种半导体装备用抗高温蠕变接地基片的制备方法
US10134615B2 (en) * 2015-02-13 2018-11-20 Applied Materials, Inc. Substrate support with improved RF return
US20160348233A1 (en) * 2015-05-29 2016-12-01 Applied Materials, Inc. Grounding of conductive mask for deposition processes
KR102142557B1 (ko) * 2016-06-21 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 Rf 리턴 스트랩 차폐 커버
KR102173212B1 (ko) * 2016-06-24 2020-11-03 주식회사 원익아이피에스 기판처리장치
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR102399343B1 (ko) * 2017-05-29 2022-05-19 삼성디스플레이 주식회사 화학기상 증착장치
WO2019023636A1 (en) * 2017-07-28 2019-01-31 Tokyo Electron Limited SYSTEM AND METHOD FOR DEPOSITING THE BACK OF A SUBSTRATE
TWI704252B (zh) * 2017-09-04 2020-09-11 台灣積體電路製造股份有限公司 升舉裝置、化學氣相沉積裝置及方法
CN108103473B (zh) * 2017-12-18 2020-04-24 沈阳拓荆科技有限公司 用于半导体处理腔体的遮蔽装置及其使用方法
KR102523798B1 (ko) 2018-02-09 2023-04-21 주성엔지니어링(주) 마스크 홀더 및 이를 포함하는 기판처리장치
US11434569B2 (en) * 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
US11270870B2 (en) 2019-04-02 2022-03-08 Applied Materials, Inc. Processing equipment component plating
KR20220039789A (ko) * 2019-08-02 2022-03-29 어플라이드 머티어리얼스, 인코포레이티드 라디오 주파수 전력 리턴 경로
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
JP7407645B2 (ja) * 2020-04-03 2024-01-04 東京エレクトロン株式会社 プラズマ処理装置
US11443921B2 (en) * 2020-06-11 2022-09-13 Applied Materials, Inc. Radio frequency ground system and method
US11887884B2 (en) * 2020-10-16 2024-01-30 Applied Materials, Inc. Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
US11664247B2 (en) * 2020-10-16 2023-05-30 Applied Materials, Inc. Dynamic interface for providing a symmetric radio frequency return path
CN117355920A (zh) * 2021-04-01 2024-01-05 应用材料公司 用于使用等离子体形成薄膜的接地返回

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4998178A (en) 1990-06-18 1991-03-05 Minnesota Mining And Manufacturing Company Adjustable, conductive body strap
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
JP3710081B2 (ja) * 1997-11-30 2005-10-26 アルプス電気株式会社 プラズマ処理装置
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
US6197438B1 (en) * 1998-03-11 2001-03-06 Roger Faulkner Foodware with ceramic food contacting surface
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6162332A (en) * 1998-05-07 2000-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for preventing arcing in sputter chamber
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6558504B1 (en) 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
TW570856B (en) * 2001-01-18 2004-01-11 Fujitsu Ltd Solder jointing system, solder jointing method, semiconductor device manufacturing method, and semiconductor device manufacturing system
KR100738837B1 (ko) * 2001-02-13 2007-07-12 엘지.필립스 엘시디 주식회사 플라즈마화학증착 장치
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7100532B2 (en) 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7060545B1 (en) 2002-10-31 2006-06-13 Micrel, Inc. Method of making truncated power enhanced drift lateral DMOS device with ground strap
US6933442B2 (en) 2003-02-12 2005-08-23 Senior Industries, Inc. Methods and apparatus to secure a ground strap assembly to an electrically conductive member
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5790365A (en) * 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US20010035132A1 (en) * 2000-04-27 2001-11-01 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment

Also Published As

Publication number Publication date
CN102324367A (zh) 2012-01-18
KR101185908B1 (ko) 2012-09-25
KR101441892B1 (ko) 2014-09-19
US20060060302A1 (en) 2006-03-23
TW201001533A (en) 2010-01-01
KR20090057202A (ko) 2009-06-04
CN1752281B (zh) 2011-08-24
JP2006104575A (ja) 2006-04-20
JP5033319B2 (ja) 2012-09-26
JP5037560B2 (ja) 2012-09-26
TW200611333A (en) 2006-04-01
JP2009280913A (ja) 2009-12-03
US7534301B2 (en) 2009-05-19
CN1752281A (zh) 2006-03-29
CN102324367B (zh) 2016-02-03
KR20060051437A (ko) 2006-05-19
TWI314759B (en) 2009-09-11
US20090178617A1 (en) 2009-07-16

Similar Documents

Publication Publication Date Title
TWI392017B (zh) 製程處理室中陰極之rf接地
TWI313574B (en) Rf current return path for a large area substrate plasma reactor
CN107004629B (zh) 静电吸盘及晶片处理装置
KR20040096785A (ko) 양극처리된 기판 지지부
KR20020066198A (ko) 기판지지대 및 그 제조방법과 처리장치
TW201031284A (en) RF return path for large plasma processing chamber
JP3098286U (ja) 基板支持用アセンブリ
TW201936014A (zh) 電漿處理裝置
KR102310388B1 (ko) 플라즈마 처리 장치
JP4992630B2 (ja) 載置台構造及び処理装置
KR101039524B1 (ko) 플라즈마 처리 장치
TW200836291A (en) Apparatus and method for substrate clamping in a plasma chamber
JP2523070B2 (ja) プラズマ処理装置
TW202129069A (zh) 射頻功率返回路徑
CN111883473B (zh) 静电吸盘及晶片处理装置
TWI837393B (zh) 第一導電性構件與第二導電性構件之接合構造與接合方法及基板處理裝置
US12080516B2 (en) High density plasma enhanced process chamber
TWI856820B (zh) 基板支撐基座
CN115513027A (zh) 排气网的制造方法、等离子体处理装置和排气网
KR20210116259A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees