TWI388639B - 用於低k介電質之障蔽物漿液 - Google Patents

用於低k介電質之障蔽物漿液 Download PDF

Info

Publication number
TWI388639B
TWI388639B TW098130809A TW98130809A TWI388639B TW I388639 B TWI388639 B TW I388639B TW 098130809 A TW098130809 A TW 098130809A TW 98130809 A TW98130809 A TW 98130809A TW I388639 B TWI388639 B TW I388639B
Authority
TW
Taiwan
Prior art keywords
polishing composition
ppm
salt
acid
polishing
Prior art date
Application number
TW098130809A
Other languages
English (en)
Other versions
TW201016807A (en
Inventor
Shoutian Li
Steven Grumbine
Jeffrey Dysard
Pankaj Singh
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of TW201016807A publication Critical patent/TW201016807A/zh
Application granted granted Critical
Publication of TWI388639B publication Critical patent/TWI388639B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

用於低K介電質之障蔽物漿液
用於平坦化或拋光基板之表面(尤其用於化學機械拋光(CMP))的組合物及方法在此項技術中已為吾人所熟知。在CMP製程中使用之拋光組合物(亦作為拋光漿液為吾人所知)一般而言在水溶液中含有研磨材料且用充滿該拋光組合物的拋光墊藉由接觸表面而塗敷於該表面。一般的研磨材料含有氧化鋁、氧化鈰、二氧化矽及氧化鋯。拋光組合物一般而言係與拋光墊(例如拋光布及拋光盤)共同使用。除在拋光組合物中之研磨材料之外(或替代在拋光組合物中之研磨材料),拋光盤可含有研磨材料。
基於二氧化矽之金屬間介電質層經常被用於隔離形成於基板上的含金屬之電路線。用於此等基於二氧化矽之金屬間介電質層的拋光組合物已在半導體工業中尤為良好地發展,且基於二氧化矽之介電質的拋光及磨損之化學及機械本質相當為吾人所理解。然而基於二氧化矽之介電材料的一個問題是其等之介電常數相當高(約為3.9或以上,取決於諸如殘餘水分含量之諸因素)。因此,介於該等導體層之間之電容亦相當高,轉而限制電路可操作之速率(頻率)。用於增加該電路可操作之頻率而發展的策略包括:(1)使用具有較低電阻值的金屬(例如銅)以形成電路線及(2)使用具有相對於二氧化矽之較低之介電常數的絕緣材料來提供電隔離。
在介電質基板上製作平坦的銅電路跡線之方法稱為金屬鑲嵌製程。根據此製程,二氧化矽介電質表面係藉由習知的乾蝕刻製程而被圖案化以形成孔(即通道)及溝以在銅沈積於表面上之前用於垂直及水平的互連。銅在半導體基板在製作過程期間所經歷之熱循環期間以及在施加電場下的實際裝置操作期間具有作為快速擴散體之性質,且可快速移動通過下伏的介電質層及上覆的間層介電質(ILD)層以「毒害」裝置。通過基板介電材料之銅擴散造成介於鄰近金屬線之間的漏電,導致裝置特徵退化及可能使裝置失效。因此在銅沈積之前一般而言會於基板上塗敷擴散障蔽層。已發現鉭及氮化鉭在工業中廣泛地被接受作為障蔽層材料且通常藉由物理氣相沈積法(PVD)被塗敷於基板上。該擴散障蔽層具有銅晶種層且接著以銅電鍍浴塗布銅層。化學機械拋光被用於減少銅覆蓋層的厚度,亦用於移除鋪於孔及溝之外的擴散障蔽層,直至獲得曝露於介電質表面之升高部分的平坦表面。該等通道及溝仍填滿形成電路互連之電導銅。
一般而言之,在製造過程中用到至少兩個拋光步驟,其中第一拋光步驟移除大多數塗布過多之銅,且隨後的拋光步驟移除障蔽材料以曝露下面的介電質層。增加使用具有相對於二氧化矽之較低介電常數之絕緣材料(通常稱為「低K介電質」)導致在發展新的拋光組合物及方法上之新的挑戰。包含多孔金屬氧化物、多孔或無孔摻碳之氧化矽及摻氟之氧化矽的低K介電材料一般而言比習知的基於氧化矽之介電材料更為柔軟且更易碎。有效移除基於鉭之障蔽物材料且亦移除低K介電材料之拋光組合物的開發因此複雜化。此外,低K介電材料之化學不同於習知的基於氧化矽之介電材料之化學,當藉由習知的化學機械拋光組合物進行拋光時通常展現出無法接受的低移除率。
此外,在某些方案中,用較硬之習知的基於二氧化矽之介電材料覆蓋該等柔軟的低K介電材料以容許更佳地控制平坦化。在拋光期間亦必須移除所覆蓋之介電質以曝露下面之低K介電材料。因此可在相當比率下移除習知的基於二氧化矽之介電材料及低K介電材料的拋光組合物係為吾人所欲。
本發明提供化學機械拋光組合物,其包括:(a)矽石,(b)化合物,其係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽、吡錠鹽(pyridinium salt)及咪唑鎓鹽(imidazolium salt),(c)具有七個或七個以上碳原子之羧酸,(d)氧化金屬之氧化劑,(e)(視情況而言)螯合劑(f)(視情況而言)生物滅除劑,及(g)水。
本發明亦提供化學機械地拋光基板之一種方法,該方法包括(i)用化學機械拋光組合物接觸基板,該化學機械拋光組合物包括:(a)矽石,(b)化合物,其係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽、吡錠鹽及咪唑鎓鹽,(c)具有七個或七個以上碳原子之羧酸,(d)氧化劑,其氧化基板之至少一部分,及(e)水,(ii)相對於基板移動拋光組合物,其中化學機械拋光組合物係介於其等之間,及(iii)研磨基板之至少一部分以拋光該基板。
本發明提供化學機械拋光組合物,該化學機械拋光組合物包括下列組成,或(基本上)由下列組成:(a)矽石,(b)化合物,其係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽、吡錠鹽及咪唑鎓鹽,(c)具有七個或七個以上碳原子之羧酸,(d)氧化金屬之氧化劑,及(e)水。
拋光組合物包括矽石,吾人希望矽石懸浮於液體載劑(例如水)中。吾人希望該拋光組合物不包括除矽石以外之研磨劑。矽石一般而言係顆粒形式。較佳而言之,該矽石包括膠狀矽石粒子,或(基本上)由膠狀矽石粒子組成。膠狀矽石粒子係經由濕製程製備且一般而言係非聚合、個別離散之微子,該等粒子通常而言在形狀上為球形或幾乎為球形,但可具有其他形狀(例如,通常為具有橢圓、正方形或矩形之截面的形狀)。此等粒子一般而言結構異於燻式矽石粒子,該等燻式矽石粒子係經由一高溫法或火焰水解法而製備且係鏈狀結構之聚合原始粒子。
較佳而言之,該膠狀矽石係經沈澱或經濃縮聚合之矽石,該矽石可使用任何一般技術者所已知之方法(諸如藉由溶膠凝膠法或藉由矽酸鹽離子交換法)來製備。經濃縮聚合之矽石粒子一般而言係藉由濃縮Si(OH)4 而製備以形成實質為球形的粒子。前軀體Si(OH)4 可例如藉由水解高純度之烷氧矽烷或藉由酸化矽酸鹽水溶液而獲得。該等研磨微粒可根據美國專利第5,230,833號而製備或可作為多種商業可用之產品之任何一者而獲得,諸如來自EKA Chemicals之BINDZIL 50/80、30/310及40/130產品,Fuso PL-1、PL-2、PL-3及PL-3H產品及Nalco 1034A、1050、2327及2329產品,亦如來自DuPont、Bayer、Applied Research、Nissan Chemical(SNOWTEX產品)及Clariant之其他類似的可用產品。
任何適合數量之矽石可存在於該拋光組合物之中。該拋光組合物可包括0.01重量%或以上(例如0.1重量%)之矽石。或者(或此外),該拋光組合物可包括10重量%或以下(例如8重量%或以下,或5重量%或以下)之矽石。因此,舉例而言,該拋光組合物可包括0.01重量%至10重量%之矽石(例如0.1重量%至5重量%之矽石)。
矽石粒子可具有任何適合之粒子大小。吾人希望該等矽石粒子具有5nm或以上之一平均粒子大小(例如,10nm或以上,15nm或以上,20nm或以上,或者30nm或以上或者40nm或以上)。或者(或此外),吾人希望該等矽石粒子具有150nm或以下之一平均粒子大小(例如125nm或以下,或者100nm或以下)。較佳而言之,該等矽石粒子具有20nm至100nm(例如30nm至80nm,或40nm至70nm)之一平均粒子大小。就此而言粒子之大小指稱封圍住該粒子之最小球體的直徑。
該等矽石粒子較佳而言之是膠狀穩定的。術語膠體指稱研磨粒子在水中之懸浮液。膠狀穩定性指稱該懸浮液隨時間流逝而保持。在本發明之背景內容中,若當矽石粒子被置於100毫升之量筒中且保持兩小時不被攪動,而在量筒底部50毫升處之粒子濃度([B]以g/ml為單位)及在量筒頂部50毫升處之粒子濃度([T]以g/ml為單位)之差除以在研磨組合物中之起始粒子濃度([C]以g/ml為單位)小於或等於0.5(即)時,則該等矽石粒子被認為是膠狀穩定的。更佳而言之,[B]-[T]/[C]之值小於或等於0.3且最佳而言之小於或等於0.1。
該拋光組合物包括化合物,該化合物係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽及咪唑鎓鹽。上述之化合物在本文中稱為陽離子化合物。吾人希望該陽離子化合物與矽石粒子相互作用使得該等矽石粒子在該拋光組合物的pH值下具有正的zeta電位。
該陽離子化合物可為胺取代矽烷。適合的矽烷化合物包含一級胺矽烷、二級胺矽烷、三級胺矽烷、四級胺矽烷及雙足胺矽烷。該胺矽烷化合物可為任何適合之胺矽烷,諸如胺丙基三烷氧矽烷(即γ-胺丙基三乙氧矽烷)、雙(2-羥乙基)-3-胺丙基-三烷氧矽烷、二乙基胺甲基三烷氧矽烷、(N,N-二乙基-3-胺丙基)三烷氧矽烷、3-(N-苯乙烯甲基)-2-胺乙基胺丙基三烷氧矽烷、(2-N-苯甲基胺乙基)-3-胺丙基三烷氧矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基氯化銨、N-(三烷氧基矽烷基乙基)苯甲基-N,N,N-三甲基氯化銨、雙(甲基二烷氧矽烷基丙基)-N-甲基胺、雙(三烷氧矽烷基丙基)脲、雙(3-(三烷氧矽烷基)丙基)-乙二胺及雙(三烷氧矽烷基丙基)胺,諸如雙(三甲氧矽烷基丙基)胺。上述胺矽烷化合物中之烷氧基團可被其他水解基團(諸如鹵化物、胺及羧酸鹽)所取代。較佳而言之,該矽為雙足或三足。矽烷化合物之選擇部分取決於正被拋光之基板的類型。
該陽離子化合物可為四烷銨鹽。較佳而言之,該四烷銨鹽包括具有R1 R2 R3 R4 N+ 結構之陽離子,其中R1 、R2 、R3 及R4 係獨立的C1 -C20 烷基,其中R1 、R2 、R3 及R4 之至少一者包括四個或四個以上碳原子之鏈,條件是R1 、R2 、R3 及R4 不全為C1 烷基。該四烷銨鹽可具有任何適合之陰離子,諸如氯化物、溴化物、氫氧化物、硫酸鹽、氫硫酸鹽、甲硫酸鹽、甲磺酸鹽、p-甲苯磺酸鹽、苯磺酸鹽及其類似物。適合之四烷銨陽離子的非限制實例包含三乙基甲基銨、四乙銨、三丙基甲基銨、四丙銨、三丁基甲基銨、四丁銨、三丙基甲基銨、四戊銨、三己基甲基銨、四己銨及其類似物。
該陽離子化合物可為四烷鏻鹽。較佳而言之,該四烷鏻鹽包括具有R1 R2 R3 R4 P+ 結構之陽離子,其中R1 、R2 、R3 及R4 係獨立的C1 -C20 烷基。該四烷鏻鹽可具有任何適合的陰離子,諸氯化物、溴化物、氫氧化物、硫酸鹽、氫硫酸鹽、甲硫酸鹽、甲磺酸鹽、p-甲苯磺酸鹽、苯磺酸鹽及其類似物。適合的四烷鏻陽離子之非限制實例包含:三乙基甲基鏻、四乙鏻、三丙基甲基鏻、四丙鏻、三丁基甲基鏻、四丁鏻、三戊基甲基鏻、四戊鏻、三己基甲基鏻、四己鏻及其類似物。
該陽離子化合物可為吡錠鹽。較佳而言之,該吡錠鹽包括具有C5 H5 NR+ 結構之陽離子,其中R是C1 -C10 烷基,視需要由一個或多個羥基取代。該吡錠鹽可具有任何適合的陰離子,諸如氯化物、溴化物、氫氧化物、硫酸鹽、氫硫酸鹽,甲硫酸鹽、甲磺酸鹽、p-甲苯磺酸鹽、苯磺酸鹽及其類似物。適合的吡錠陽離子之非限制實例包含:N-甲基吡錠、N-乙基吡錠、N-丙基吡錠、N-丁基吡錠及其類似物。
該陽離子化合物可為咪唑鎓鹽。較佳而言之,該咪唑鎓鹽包括具有以下結構之陽離子:
其中R5 及R6 係獨立的C1 -C20 烷基。該咪唑鎓鹽可具有任何適合之陰離子,諸如氯化物、溴化物、氫氧化物、硫酸鹽、氫硫酸鹽、甲硫酸鹽、甲磺酸鹽、p-甲苯磺酸鹽、苯磺酸鹽及其類似物。適合的咪唑鎓陽離子之非限制實例包含:1,3-二甲基咪唑鎓、3-乙基-1-甲基咪唑鎓、3-丙基-1-甲基咪唑鎓、3-異丙基-1-甲基咪唑鎓、3-丁基-1-甲基咪唑鎓、3-戊基-1-甲基咪唑鎓及其類似物。
該拋光組合物可包括任何適合數量之陽離子化合物。該拋光組合物可包括10ppm(例如20ppm,或30ppm,或40ppm,或50ppm)之陽離子化合物。或者(或此外),該拋光組合物可包括5000ppm或以下(例如2500ppm或以下,或者2000ppm或以下,或者1500ppm或以下,或者1000ppm或以下,或者750ppm或以下,或者500ppm或以下,或者250ppm或以下)之陽離子化合物。因此,舉例而言之,該拋光組合物可包括10ppm至5000ppm(例如10ppm至2500ppm,或10ppm至1500ppm,或50ppm至1500ppm,或50ppm至250ppm)之陽離子化合物。
該拋光組合物包括具有七個或七個以上碳原子之羧酸。該羧酸可為任何適合之羧酸。較佳而言之,羧酸包括環族含碳取代基。更佳而言之,該羧酸包括至少一個芳香環。該羧酸可具有20個或20個以下碳原子(例如18個或18個以下碳原子,或者16個或16個以下碳原子,或者14個或14個以下碳原子,或者12個或12個以下碳原子,或者10個或10個以下碳原子)。在一具體實施例中,該羧酸係羥基苯甲酸。該羥基苯甲酸可具有1個至3個羧酸基及1個至3個羥基。較佳而言之,該羥基苯甲酸係選自由下列組成之群:2-羥基苯甲酸、3-羥基苯甲酸、4-羥基苯甲酸、2,3-二羥基苯甲酸、2,4-二羥基苯甲酸、2,5-二羥基苯甲酸、2,6-二羥基苯甲酸及3,5-二羥基苯甲酸。更佳而言之,該羥基苯甲酸係2-羥基苯甲酸或2,6-二羥基苯甲酸。
在另一具體實施例中,該羧酸不包括羥基。在此具體實施例中,較佳而言該羧酸係選自由下列組成之群:苯甲酸、1,2-鄰苯二甲酸、1,2,4-苯三甲酸、苯丙二酸、環己烷羧酸及反式-環己烷-1,2-二羧酸。
該拋光組合物可包括任何適合數量之羧酸。該拋光組合物可包括10ppm或以上(例如25ppm或以上,或者50ppm或以上,或者75ppm或以上,或者100ppm或以上)之羧酸。或者(或此外),該拋光組合物可包括2000ppm或以下(例如1000ppm或以下,或者800ppm或以下,或者700ppm或以下,或者600ppm或以下,或者500ppm或以下)之羧酸。因此,舉例而言之,該拋光組合物可包括10ppm至2000ppm(例如50ppm至1000ppm,或100ppm至500ppm)之羧酸。
應瞭解上述組合物之多數可以鹽(例如金屬鹽、銨鹽或類似物)、酸或部分鹽之形式存在。例如,1,2-鄰苯二甲酸包括1,2-鄰苯二甲酸,亦包括1,2-鄰苯二甲酸之單鹽(例如1,2-鄰苯二甲酸氫鉀)及1,2-鄰苯二甲酸之二鹽。胺(例如胺丙基三乙氧矽烷)包括胺及胺之酸加成鹽(例如胺丙基三乙氧矽烷鹽酸鹽)。
該拋光組合物包括氧化金屬之氧化劑。該氧化劑之功能係氧化一基板之至少一部分,諸如包括銅及/或鉭的一層或若干層。該氧化劑可為任何適合之氧化劑。適合的氧化劑之非限制實例包含過氧化氫、過硫酸鹽(例如過硫酸銨)、鐵鹽(例如硝酸鐵)、固體形式之過氧化氫及其等之組合物。固體形式之過氧化氫包含過碳酸鈉、過氧化鈣及過氧化鎂,當其等溶解於水中時釋放自由的過氧化氫。較佳而言之,該氧化劑為過氧化氫。
該拋光組合物可包括任何適合數量之氧化劑。該拋光組合物可包括0.1重量%或以上(例如0.2重量%或以上,或者0.5重量%或以上)之氧化劑。或者(或此外),該拋光組合物可包括5重量%或以下(例如4重量%或以下,或者3重量%或以下,或者2重量%或以下)之氧化劑。因此舉例而言之,該拋光組合物可包括0.1重量%至5重量%(例如0.2重量%至4重量%,或0.5重量%至2重量%)之氧化劑。
該拋光組合物亦可含有硼酸。當含硼酸時,該拋光組合物一般而言包括100ppm或以上(例如200ppm或以上,或者300ppm或以上)之硼酸。較佳而言之,該拋光組合物包括1000ppm或以下(例如900ppm或以下,或者800ppm或以下)之硼酸。更佳而言之,該拋光組合物包括100ppm至1000ppm(例如200ppm至900ppm,或者300ppm至800ppm)之硼酸。
該拋光組合物亦可含有腐蚀抑制剂。針對本發明之目的,腐蝕抑制劑係促進在被拋光之基板的至少一部分表面上形成鈍化層(即是抑制溶解層)的任何化合物或諸化合物之混合物。吾人希望該腐蝕抑制劑為用於銅之腐蝕抑制劑。用於銅之腐蝕抑制劑係促進在銅上形成鈍化層的任何化合物。當含用於銅的腐蝕抑制劑時,該拋光組合物一般而言包括10ppm或以上(例如50ppm或以上,或者100ppm或以上)之用於銅的腐蝕抑制劑。較佳而言之,該拋光組合物包括5000ppm或以下(例如2500ppm或以下,或者1000ppm或以下,或者900ppm或以下,或者800ppm或以下)之用於銅之腐蝕抑制劑。更佳而言之,該拋光組合物包括10ppm至5000ppm(例如10ppm至2500ppm,或者25ppm至1000ppm,或者50ppm至800ppm)之用於銅的腐蝕抑制劑。較佳而言之,該用於銅之腐蝕抑制劑包括三唑或四唑基團。更佳而言之,該用於銅之腐蝕抑制劑為苯并三唑化合物,該苯并三唑化合物係選自由下列組成之群:苯并三唑、4-甲基苯并三唑、5-甲基苯并三唑、5-氯苯并三唑及其等之組合物。最佳而言之,該用於銅之腐蝕抑制劑為苯并三唑。
該拋光組合物可具有任何適合之pH值。該拋光組合物一般而言具有1或1以上(例如2或2以上,或者3或3以上)之pH值。較佳而言之,該拋光組合物具有6或6以下(例如5或5以下,或者4或4以下)之pH值。更佳而言之,該拋光組合物具有1至6(例如2至5,或者2至4,或者3至5)之pH值。該拋光組合物可包括pH值調整劑,例如硝酸、硫酸、氫氧化銨及其類似物。該拋光組合物視需要而包括pH值緩衝系統,例如硼酸緩衝劑或硫酸緩衝劑。該拋光組合物之pH值可藉由任何適合之方法達到及/或維持。更明確而言之,該拋光組合物可進一步包括pH值調整劑、pH值緩衝劑或其等之組合物。該pH值調整劑可為任何適合的調整pH值之化合物。舉例而言之,該pH值調整劑可為硝酸、氫氧化鉀或其等之組合物。該pH值緩衝劑可為任何適合之緩衝劑,例如磷酸鹽、硫酸鹽、醋酸鹽、硼酸鹽、銨鹽及其類似物。該拋光組合物可包括任何適合數量之pH值調整劑及/或pH值緩衝劑,但適合數量之緩衝劑係用於達到及/或維持在本文中所闡明之範圍內的該拋光組合物之pH值。
吾人希望在拋光組合物中矽石可具有0mV以上之zeta電位。粒子之zeta電位指稱該粒子周圍之該等離子的電荷與主體溶液(例如液體載劑及任何其他溶解於液體載劑中之組份)之電荷之差。
該拋光組合物可包括生物滅除劑。該生物滅除劑可包括任何適合之生物滅除劑,例如異噻唑啉酮生物滅除劑。在該拋光組合物中之生物滅除劑的數量一般而言為1ppm至50ppm,較佳而言為1ppm至20ppm。
該拋光組合物可包括螯合劑。適合之螯合劑的諸實例包含乙二銨四醋酸、乙二銨四醋酸之部分鹽(例如乙二銨四醋酸二鈉)等等。
該拋光組合物由任何適合之技術而製備,該等技術之多數已為熟習此項技術者所知。該拋光組合物可以批量或連續製程而製備。通常而言,該拋光組合物可藉由以任何順序組合該等拋光組合物之組份而製備。如本文中所使用的術語「組份」包含若干個別成分(例如矽石、陽離子化合物、具有七個或七個以上碳原子之羧酸、氧化劑等等)及諸成分之任何組合物(例如矽石、陽離子化合物、具有七個或七個以上碳原子之羧酸、氧化劑、視需要之生物滅除劑等等)。
舉例而言之,矽石可散佈於水中。接著可添加陽離子化合物及具有七個或七個以上碳原子之羧酸,且藉由任何可將該等組份併入該拋光組合物中之適合之方法來混合。在製備該拋光組合物期間可在任何時候添加氧化劑。可在使用之前將一個或多個組份(例如氧化劑)添加至即將使用之該拋光組合物中來製備該拋光組合物(例如在使用前1分鐘內,或使用前1小時內,或使用前7天內)。該拋光組合物亦可在拋光操作期間藉由混合在基板之表面的組份而製備。
可將該拋光組合物作為一個單一封裝系統而供應,該單一封裝系統包括矽石、陽離子化合物、具有七個或七個以上碳原子之羧酸、視需要之生物滅除劑及水。或者,在一第一容器內可將矽石作為水中之分散液而供應,且在一第二容器中可將陽離子化合物、具有七個或七個以上碳原子之羧酸及視需要之生物滅除劑以乾燥形式或者以溶液或水中之分散液而供應。吾人希望該氧化劑係與該拋光組合物之其他組份分開供應且(例如由最終客戶)在即將使用之前(例如使用前1星期或以下、使用前1天或以下、使用前1小時或以下、使用前10分鐘或以下,或者使用前1分鐘或以下)將該氧化劑與該拋光組合物之其他組份組合。在第一或第二容器中的該等組份可為乾燥形式而在其他容器中的該等組份可為水性分散液之形式。此外,在該等第一及第二容器中的組份宜具有不同的pH值,或替代而言宜具有實質相近或甚至相等的pH值。該拋光組合物之該等組份的其他兩容器、三容器或更多容器組合係在一般技術者之一者的知識之中。
本發明之拋光組合物亦可以濃縮液提供,吾人預期在使用前用適合數量之水稀釋該濃縮液。在此一具體實施例中,該拋光組合物濃縮液可包括矽石、陽離子化合物、具有七個或七個以上碳原子的羧酸、視情況之生物滅除劑及水,可具有或不具有氧化劑,該等組份之數量係以使得在以適量水稀釋該濃縮液時(且若該氧化劑尚未以適當之數量出現時),該拋光組合物之各組份在該拋光組合物中之量將在上述用於各個組份之適當範圍中。例如,矽石、陽離子化合物、具有七個或七個以上碳原子之羧酸及視情況之生物滅除劑每一者可分別以上述用於各個組份之濃度的2倍(例如3倍、4倍或5倍)之濃度量出現,以使當用等體積水(例如分別為2等體積水、3等體積水或4等體積水)稀釋該濃縮液且與適量氧化劑一起使用時,各個組份將以在上述用於各個組份之範圍中的數量出現在該拋光組合物中。此外,如一般技術者所應瞭解,該濃縮液可含有出現在該最終拋光組合物中的適當分率之水以確保其他組份至少部分或完全地溶解於該濃縮液之中。
雖然本發明之拋光組合物可被用於拋光任何基板,該拋光組合物在拋光包括至少一個包括銅的金屬層、至少一個包括鉭的金屬層及至少一個介電質層的基板中尤其有用。該等金屬層可佈置於該基板上之任何地方,但較佳而言至少一個鉭層係佈置於至少一個銅層與至少一個介電質層之間。該鉭層可包括鉭金屬或可包括適合的含鉭化合物(諸如氮化鉭),或者鉭金屬及含鉭化合物之混合物。當該鉭層包括氮化鉭時,該氮化鉭可包括化學計量的氮化鉭(即是TaN)或非化學計量的氮化鉭,例如TaN0.5 。該鉭層亦可包括由化學式TaNx Cy 表示的具有氮及碳之鉭的含鉭化合物,其中。該介電質層可為金屬氧化物,諸如從四乙基正矽酸鹽(TEOS)、多孔氧化金屬、多孔或無孔的摻碳氧化矽、摻氟之氧化矽、玻璃、有機聚合物、氟化有機聚合物中衍生之氧化矽層,或任何其他適合的高k或低k絕緣層。較佳而言之,該介電質層是摻碳之氧化矽,諸如可用的CORALTX 及BLACK DIAMONDTX 材料、各別來自Novellus System(San Jose,CA)及Applied Materials(Santa Clara,CA)。
有助的是,本發明之方法與先前技術之拋光方法比較為低k介電質層提供經提高之移除率,特別是為摻碳之氧化矽層提供經提高之移除率。
本發明之拋光方法尤其適合與化學機械拋光(CMP)裝置共同使用。一般而言之,該裝置包括滾筒,當在使用中時該滾筒在運動中且具有源自軌道的直線或圓周運動之速率;拋光墊,該拋光墊與滾筒接觸且當在運動中時隨著滾筒而移動;及載體,該載體藉由接觸并相對於拋光墊之表面而移動以保持基板之拋光。基板之拋光係藉由安置基板以與拋光墊及本發明之該拋光組合物接觸然後將該拋光墊相對於該基板移動而發生,因而研磨該基板之至少一部分(諸如本文所描述之銅、鉭、含鉭化合物及/或介電材料)以拋光該基板。
可用任何適合之拋光墊(例如拋光表面)以化學機械拋光組合物平坦化或拋光基板。適合之拋光墊包含例如編織或非編織的拋光墊。此外,適合之拋光墊可包括具有各種密度、硬度、厚度、壓縮性、一經壓縮便反彈之能力及壓縮模數的任何適合之聚合物。適合之聚合物包含例如聚氯乙烯、聚氟乙烯、尼龍、碳氟化合物、聚碳酸酯、聚酯、聚丙烯酸、聚醚、聚乙烯、聚醯胺、聚胺基甲酸酯、聚苯乙烯、聚丙烯、其等之類似產品及其等之混合物。
吾人希望CMP裝置進一步包括原位拋光終點偵測系統,該等原位拋光終點偵測系統之大多數在此項技術中已為吾人所知。藉由分析來自該加工件之表面所反射的光或其他輻射而用於檢測及監視拋光處理之技術已在此項技術中為吾人所知。此等方法係描述於例如在美國專利第5,196,353號、美國專利第5,433,651號、美國專利第5,609,511號、美國專利第5,643,046號、美國專利第5,658,183號、美國專利第5,730,642號、美國專利第5,838,447號、美國專利第5,872,633號、美國專利第5,893,796號、美國專利第5,949,927號及美國專利第5,964,643號之中。吾人希望相對於正被拋光之加工件之拋光處理進程的偵測或監視可偵測拋光之終點,即是相對於一特定加工件偵測何時終止拋光處理。
下列諸實例將進一步闡釋本發明,但當然無論如何不應被理解為限制本發明之範圍。
在下列實例中,摻碳之氧化矽介電材料係來自Novellus Systems(San Jose,CA)之CORALTX 產品(在下文中為「摻C矽石」),而氧化矽介電材料係從四乙基正矽酸鹽(在下文中為「TEOS」)產生。該等拋光條件如下:8.9kPa至10.3kPa(1.3psi至1.5psi)之下壓力、103rpm之滾筒速度、97ppm之載體速度及200ml/min之拋光組合物傳送速率,使用Poiitex拋光墊。
實例1
本實例證實具有七個或七個以上碳原子之羧酸在所觀察到的銅、鉭、摻C矽石及TEOS之移除率上可藉由本發明之拋光方法達到的效果。
四個基板之十一個類似套組用十一個不同的拋光組合物(組合物1A至1K)拋光,該等基板之各者分別包括銅、鉭、摻C矽石及TEOS。組合物1A至1K之各者含有2重量%之膠狀矽石,該膠狀矽石具有35nm之平均粒子大小、100ppm之3-胺丙基三乙氧基矽烷、100ppm之硼酸、500ppm之苯并三唑及1重量%之過氧化氫,在水中之pH值為4.1。組合物1A至1K進一步含有各別濃度為2.9mM的硝酸(HNO3 )、3,4-二羥基苯甲酸(3,4-HBA)、3-羥基苯甲酸(3-HBA)、4-羥基苯甲酸(4-HBA)、1,2,4-苯三甲酸(1,2,4-BCA),2,4-二羥基苯甲酸(2,4-HBA)、苯甲酸(BA)、苯二甲酸(PA)、2,5-二羥基苯甲酸(2,5-HBA)、2-羥基苯甲酸(2-HBA)及2,6-二羥基苯甲酸(2,6-HBA)。
在拋光之後,測定銅、鉭、及TEOS之移除率(「RR」),且結果陳述於表1之中。
如陳述於表1中的結果所顯而易見,含有具有七個或七個以上碳原子之羧酸的組合物1B至1K所展現之摻C矽石的移除率比由含有硝酸的對照拋光組合物所展現之摻C矽石的移除率高出大約20%至387%。
實例2
本實例證實具有七個或七個以上碳原子之羧酸在所觀察到的鉭、及TEOS之移除率上可藉由本發明達到的效果。
四個基板之三十三個類似套組用十一個不同的拋光組合物(組合物2A至2K)拋光,該等基板之各者分別包括TEOS、摻C矽石及鉭。組合物2A至2K之各者係在4.5、4.0或3.5之pH值中形成,且組合物2A至2K之各者含有在水中之2重量%之膠狀矽石,該膠狀矽石具有35nm之平均粒子大小、100ppm之3-胺丙基三乙氧基矽烷、500ppm之苯并三唑及1重量%的過氧化氫。組合物2A至2K進一步含有各別濃度為2.9mM之硝酸、2-羥基苯甲酸(2-HBA)、丙二酸、苯丙二酸、環己烷羧酸、反式-環己烷-1,2-二羧酸、苯三酚、鄰苯二酚、反式-1,2-環己烷二醇、2-胺苯酚及4-甲氧苯酚。
在拋光之後,測定TEOS、摻C矽石及鉭(「Ta」)之移除率(單位為),且結果陳述於表2中。
如陳述於表2中的結果所顯而易見,含有具有七個或七個以上碳原子之羧酸的組合物2B、2D、2E、2F及2G展現摻C矽石之移除率在4.5之pH值中比含有硝酸之對照拋光組合物高出62%至190%,在4.0之pH值中時比之高出9.5%至237%,在3.5之pH值中比之高出-21%至184%。
實例3
此實例證實四丁基鏻氫氧化物(「TBPH」)(即是四烷基鏻鹽)及2,6-二羥基苯甲酸(「2,6-HBA」)(即是具有七個或七個以上碳原子之羧酸)在觀察到的摻C矽石及TEOS之移除率上可藉由本發明之拋光方法達到的效果。
四個基板之十四個類似的套組用十四個不同的拋光組合物(組合物3A至3N)拋光,該等基板之各者分別包括TEOS及摻C之矽石。組合物3A至3N之各者在3.5之一pH值下在水中含有4重量%之膠狀矽石,該膠狀矽石具有20nm之一平均粒子大小、500ppm之苯并三唑、10ppm之乙二胺四乙酸及1重量%的過氧化氫。組合物3B至3N進一步如表3中所陳述之數量含有四丁基鏻氫氧化物或四丁基鏻氫氧化物加上2,6-二羥基苯甲酸。
在拋光之後,測定TEOS及摻C矽石之移除率,且該等結果陳述於表3中。
如陳述於表3中的結果所顯而易見,組合物3G所展現之一摻C矽石的移除率比藉由組合物3D而展現之一摻C矽石的移除率高出大約64%,該組合物3G含有500ppm之四丁基鏻氫氧化物及800ppm之2,6-二羥基苯甲酸,該組合物3D含有500ppm之四丁基鏻氫氧化物但無2,6-二羥基苯甲酸。組合物3L所展現之一摻C矽石的移除率比藉由組合物3H而展現之該摻C矽石的移除率高出大約275%,該組合物3L含有1000ppm之四丁基鏻氫氧化物及1800ppm之2,6-二羥基苯甲酸,該組合物3H含有1000ppm之四丁基鏻氫氧化物但無2,6-二羥基苯甲酸。組合物3M及3N所展現之摻C矽石的移除率各別為藉由組合物3L而展現之該摻C矽石的移除率的大約98%及96%,該組合物3M及3N含有1000ppm之四丁基磷氫氧化物且各別含有2500ppm及3000ppm之2,6-二羥基苯甲酸。藉由組合物3B而展現之摻C矽石移除率似為一反常結果。
實例4
此實例證實咪唑鎓鹽與具有七個或七個以上碳原子之羧酸混合下在所觀察到的摻C矽石、TEOS及鉭之移除率可藉由本發明之拋光方法達到的效果。
三個基板之六個類似套組用六個不同的拋光組合物(組合物4A至4F)拋光,該等基板之各者分別包括TEOS、Coral、及鉭。組合物4A至4F之各者在3.5之pH值下於水中含有4重量%之膠狀矽石,其具有20nm之平均粒子大小、按陳述於表4中之數量的3-丁基-1-甲基-1H-咪唑鎓氯化物(「BMI」)、250ppm之苯并三唑、10ppm之乙二胺四乙酸及1重量%的過氧化氫。組合物4B至4F進一步含有按陳述於表4中之數量的2,6-二羥基苯甲酸(「2,6-HBA」),而組合物4A不含2,6-HBA。
在拋光之後,測定TEOS、摻C矽石及鉭之移除率,且該等結果陳述於表4之中。
如陳述於表4之結果所顯而易見,組合物4B所展現之摻C矽石的移除率比藉由組合物4A而展現之該摻C矽石的移除率高出大約157%,而所展現之TEOS之移除率大約為藉由組合物4A而展現的該TEOS移除率的72%,該組合物4B含有650ppm之BMI及1300ppm之2,6-HBA,該組合物4A不含任何2,6-HBA。組合物4F所展現之摻C矽石的移除率大約為藉由組合物4B而展現的該摻C矽石的移除率的92%,組合物4F含有975ppm之BMI及1300ppm之2,6-HBA,組合物4B含有650ppm之BMI及1300ppm之2,6-HBA。組合物4D所展現的摻C矽石的移除率大約為藉由組合物4B而展現的該摻C矽石的移除率的89%,且TEOS之移除率大約為組合物4B所展現之該TEOS之移除率的76%,組合物4D含有325ppm之BMI及1300ppm之2,6-HBA,組合物4B含有650ppm之BMI及1300ppm之2,6-HBA。
實例5
本實例證實在四烷銨鹽中之烷基鏈長度在所觀察到的摻C矽石、TEOS及鉭之移除率可藉由本發明之拋光方法達到的效果。
三個基板之六個類似套組用六個在pH值為3.5或4.0下配製的不同拋光組合物(組合物5A至5F)拋光,該等基板之各者分別包括TEOS、摻C矽石及鉭。組合物5A至5C進一步含有1000ppm作為陽離子組份之四丁基銨氫氧化物(「TBAH」),其中組合物5B及5C亦進一步含有2,6-二羥基苯甲酸(「2,6-HBA」)。組合物5D至5F進一步含有1000ppm之四甲基銨氫氧化物(「TMAH」),而組合物5E及5F亦進一步含有2,6-HBA。在該等組合物之各者中的2,6-HBA數量及該等組合物的pH值係陳述於表5之中。
在拋光之後,測定TEOS、摻C矽石及鉭之移除率,且該等結果陳述於表5之中。
顯然,組合物5B所展現的摻C矽石及TEOS之移除率在3.5之pH值下各別為組合物5A之移除率的大約7.6倍及4..0倍,在4.0之pH值下各別為組合物5A之移除率的大約8.2倍及3.5倍,該組合物5B含有1000ppm之四丁基銨氫氧化物及650ppm之2,6-HBA,該組合物5A含有1000ppm之四丁基銨氫氧化物但不含任何2,6-HBA。組合物5C所展現的摻C矽石及TEOS之移除率在3.5之pH值下各別為組合物5A之移除率的大約28.5倍及3.2倍,在4.0之pH值下各別為組合物5A之移除率的大約27.9倍及3.3倍,該組合物5C含有1000ppm之四丁基銨氫氧化物及1300ppm之2,6-HBA。組合物5E及5F所最多展現之摻C矽石及TEOS之移除率各別為組合物5D之移除率的大約2.2倍及1.6倍,該等組合物5E及5F含有1000ppm之四甲基銨氫氧化物及各別為650ppm或1300ppm之2,6-HBA的,該組合物5D含有1000ppm之四甲基銨氫氧化物但不含任何2,6-HBA。
實例6
本實例證實具有七個或七個以上碳原子之羧酸在觀察到的摻C矽石、TEOS及鉭之移除率上可藉由本發明之拋光方法達到的效果。
組合物6A及6B係已配製且用於拋光包括TEOS、摻C矽石及鉭之基板。該等組合物6A及6B兩者皆在pH值為4.2時配製成,且含有在水中為2重量%之膠狀矽石、150ppm之雙(三甲基氧矽烷基丙基)胺、500ppm之苯并三唑及1重量%之過氧化氫,該等膠狀矽石具有35nm之平均粒子大小。組合物6A及6B進一步含有乙酸(對照)及二羥基苯甲酸(發明),其濃度各別為2.9mM。該拋光係使用1.5psi之下壓力在300mm的晶圓上進行。
在拋光之後,測定TEOS、摻C矽石及鉭(「Ta」)之移除率(以為單位),且該等結果陳述於表6之中。
如表6中之數據所顯而易見,證實了對於所有基板而言具有羧酸之該組合物的移除率比具有乙酸之組合物的移除率更高,該羧酸具有七個或七個以上碳原子。

Claims (46)

  1. 一種化學機械拋光組合物,其包括:(a)濕製程矽石粒子,(b)陽離子化合物,其係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽、吡錠鹽及咪唑鎓鹽,(c)具有七個或七個以上碳原子的羧酸,(d)氧化金屬之氧化劑,及(e)水,其中該拋光組合物具有1至6之pH值,且其中該陽離子化合物係與該矽石粒子相互作用,使得該等矽石粒子在該拋光組合物的pH值下具有正的zeta電位。
  2. 如請求項1之拋光組合物,其中該拋光組合物包括0.1重量%至5重量%之矽石粒子。
  3. 如請求項1之拋光組合物,其中該矽石粒子具有20 nm至100 nm之平均粒子大小。
  4. 如請求項1之拋光組合物,其中該拋光組合物包括胺取代矽烷。
  5. 如請求項4之拋光組合物,其中該胺取代矽烷係選自由下列組成之群:胺丙基三烷氧矽烷、雙(2-羥乙基)-3-胺丙基-三烷氧矽烷、二乙基胺甲基三烷氧矽烷、(N,N-二乙基-3-胺丙基)三烷氧矽烷、3-(N-苯乙烯甲基)-2-胺乙基胺丙基三烷氧矽烷、(2-N-苯甲基胺乙基)-3-胺丙基三烷氧矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基氯化銨、N-(三烷氧基矽烷基乙基)苯甲基-N,N,N-三甲基氯化銨、 雙(甲基二烷氧矽烷基丙基)-N-甲基胺、雙(三烷氧矽烷基丙基)脲、雙(3-(三烷氧矽烷基)丙基)-乙二胺及雙(3-(三烷氧矽烷基)丙基)胺。
  6. 如請求項4之拋光組合物,其中該拋光組合物包括50 ppm至500 ppm之胺取代矽烷。
  7. 如請求項1之拋光組合物,其中該拋光組合物包括四烷銨鹽。
  8. 如請求項7之拋光組合物,其中該拋光組合物包括50 ppm至1500 ppm之四烷銨鹽。
  9. 如請求項1之拋光組合物,其中該拋光組合物包括四烷鏻鹽。
  10. 如請求項9之拋光組合物,其中該拋光組合物包括10 ppm至1500 ppm之四烷鏻鹽。
  11. 如請求項1之拋光組合物,其中該拋光組合物包括吡錠鹽。
  12. 如請求項11之拋光組合物,其中該拋光組合物包括10 ppm至1000 ppm之吡錠鹽。
  13. 如請求項1之拋光組合物,其中該拋光組合物包括咪唑鎓鹽。
  14. 如請求項13之拋光組合物,其中該拋光組合物包括10 ppm至1000 ppm之咪唑鎓鹽。
  15. 如請求項1之拋光組合物,其中該羧酸包括環族含碳取代基。
  16. 如請求項15之拋光組合物,其中該羧酸係選自由下列組 成之群:2-羥基苯甲酸、3-羥基苯甲酸、4-羥基苯甲酸、2,3-二羥基苯甲酸、2,4-二羥基苯甲酸、2,5-二羥基苯甲酸、2,6-二羥基苯甲酸及3,5-二羥基苯甲酸。
  17. 如請求項16之拋光組合物,其中該羧酸係2-羥基苯甲酸。
  18. 如請求項16之拋光組合物,其中該羧酸係2,6-二羥基苯甲酸。
  19. 如請求項1之拋光組合物,其中該羧酸係選自由下列組成之群:苯甲酸、1,2-苯二甲酸、1,2,4-苯三甲酸、苯丙二酸、環己烷羧酸、反式-環己烷-1,2-二羧酸,及苯基丙二酸。
  20. 如請求項19之拋光組合物,其中該拋光組合物包括10 ppm至2000 ppm之羧酸。
  21. 如請求項1之拋光組合物,其中該氧化劑係過氧化氫。
  22. 如請求項1之拋光組合物,其中該拋光組合物進一步包括腐蝕抑制劑。
  23. 如請求項22之拋光組合物,其中該腐蝕抑制劑包括三唑或四唑基團。
  24. 如請求項22之拋光組合物,其中該腐蝕抑制劑係苯并三唑。
  25. 如請求項22之拋光組合物,其中該拋光組合物包括10 ppm至1000 ppm之腐蝕抑制劑。
  26. 如請求項1之拋光組合物,其中該拋光組合物具有3至5之pH值。
  27. 一種化學機械地拋光基板的方法,該方法包括:(i)用化學機械拋光組合物接觸基板,該化學機械拋光組合物包括:(a)濕製程矽石粒子,(b)化合物,其係選自由下列組成之群:胺取代矽烷、四烷銨鹽、四烷鏻鹽及咪唑鎓鹽,(c)具有七個或七個以上碳原子之羧酸,(d)氧化劑,其氧化基板之至少一部分,及(e)水,其中該拋光組合物具有1至6之pH值,且其中該陽離子化合物係與該矽石粒子相互作用,使得該等矽石粒子在該拋光組合物的pH值下具有正的zeta電位,(ii)相對於該基板移動拋光組合物,其中該化學機械拋光組合物介於其等之間,及(iii)研磨該基板之至少一部分以拋光該基板。
  28. 如請求項27之方法,其中該拋光組合物包括0.1重量%至5重量%之矽石粒子。
  29. 如請求項27之方法,其中該矽石粒子具有20 nm至100 nm之平均粒子大小。
  30. 如請求項27之方法,其中該拋光組合物包括胺取代矽烷。
  31. 如請求項30之方法,其中該胺取代矽烷係選自由下列組成之群:胺丙基三烷氧矽烷、雙(2-羥乙基)-3-胺丙基三烷氧矽烷、二乙基胺甲基三烷氧矽烷、(N,N-二乙基-3- 胺丙基)三烷氧矽烷、3-(N-苯乙烯甲基)-2-胺乙基胺丙基三烷氧矽烷、(2-N-苯甲基胺乙基)-3-胺丙基三烷氧矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基氯化銨、N-(三烷氧基矽烷基乙基)苯甲基-N,N,N-三甲基氯化銨、雙(甲基二烷氧矽烷基丙基)-N-甲基胺、雙(三烷氧矽烷基丙基)脲、雙(3-(三烷氧矽烷基)丙基)-乙二胺及雙(3-(三烷氧矽烷基)丙基)胺。
  32. 如請求項27之方法,其中該拋光組合物包括50 ppm至500 ppm之胺取代矽烷。
  33. 如請求項27之方法,其中該拋光組合物包括四烷銨鹽。
  34. 如請求項33之方法,其中該拋光組合物包括50 ppm至1500 ppm之四烷銨鹽。
  35. 如請求項27之方法,其中該拋光組合物包括四烷鏻鹽。
  36. 如請求項35之方法,其中該拋光組合物包括50 ppm至250 ppm之四烷鏻鹽。
  37. 如請求項27之方法,其中該拋光組合物包括吡錠鹽。
  38. 如請求項37之方法,其中該拋光組合物包括50 ppm至250 ppm之吡錠鹽。
  39. 如請求項27之方法,其中該拋光組合物包括咪唑鎓鹽。
  40. 如請求項39之方法,其中該拋光組合物包括50 ppm至250 ppm之咪唑鎓鹽。
  41. 如請求項27之方法,其中該羧酸包括環族含碳取代基。
  42. 如請求項27之方法,其中該拋光組合物包括100 ppm至500 ppm之羧酸。
  43. 如請求項27之方法,其中該基板包括二氧化矽,且從該基板移除該二氧化矽之一部分以拋光基板。
  44. 如請求項43之方法,其中該二氧化矽係摻碳雜質之二氧化矽。
  45. 如請求項44之方法,其中該基板進一步包括鉭,且從該基板移除鉭之一部分以拋光該基板。
  46. 如請求項45之方法,其中該基板進一步包括銅,且從該基板移除銅之一部分以拋光該基板。
TW098130809A 2008-09-19 2009-09-11 用於低k介電質之障蔽物漿液 TWI388639B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US9860008P 2008-09-19 2008-09-19

Publications (2)

Publication Number Publication Date
TW201016807A TW201016807A (en) 2010-05-01
TWI388639B true TWI388639B (zh) 2013-03-11

Family

ID=42038105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098130809A TWI388639B (zh) 2008-09-19 2009-09-11 用於低k介電質之障蔽物漿液

Country Status (9)

Country Link
US (1) US8252687B2 (zh)
EP (1) EP2356192B1 (zh)
JP (1) JP5619009B2 (zh)
KR (1) KR101247890B1 (zh)
CN (1) CN102159662B (zh)
IL (1) IL211576A (zh)
MY (1) MY150487A (zh)
TW (1) TWI388639B (zh)
WO (1) WO2010033156A2 (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5646996B2 (ja) * 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
EP2389417B1 (en) * 2009-01-20 2017-03-15 Cabot Corporation Compositons comprising silane modified metal oxides
JP6272842B2 (ja) * 2012-06-11 2018-01-31 キャボット マイクロエレクトロニクス コーポレイション モリブデン研磨のための組成物および方法
US10358579B2 (en) * 2013-12-03 2019-07-23 Cabot Microelectronics Corporation CMP compositions and methods for polishing nickel phosphorous surfaces
US9850402B2 (en) * 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US20150233004A1 (en) * 2014-02-18 2015-08-20 Nano And Advanced Materials Institute Limited Method of selective recovery of valuable metals from mixed metal oxides
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP2015189829A (ja) * 2014-03-27 2015-11-02 株式会社フジミインコーポレーテッド 研磨用組成物
JP6612789B2 (ja) 2014-06-25 2019-11-27 キャボット マイクロエレクトロニクス コーポレイション タングステンの化学機械研磨組成物
US9422457B2 (en) 2014-06-25 2016-08-23 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing concentrate
JP6612790B2 (ja) * 2014-06-25 2019-11-27 キャボット マイクロエレクトロニクス コーポレイション 銅バリアの化学機械研磨組成物
US9868902B2 (en) * 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
KR102418496B1 (ko) * 2014-12-24 2022-07-08 솔브레인 주식회사 화학적 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조방법
CN105802511A (zh) * 2014-12-29 2016-07-27 安集微电子(上海)有限公司 一种化学机械抛光液及其应用
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9534148B1 (en) 2015-12-21 2017-01-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
CN108699396B (zh) * 2015-12-29 2021-01-26 嘉柏微电子材料股份公司 包含烷基胺及环糊精的化学机械抛光加工组合物
WO2017120396A1 (en) * 2016-01-06 2017-07-13 Cabot Microelectronics Corporation Method of polishing a low-k substrate
JP6955014B2 (ja) * 2016-09-28 2021-10-27 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 第四級ホスホニウム化合物を含有する方法及び組成物を使用したタングステンの化学機械研磨
US10586914B2 (en) 2016-10-14 2020-03-10 Applied Materials, Inc. Method of forming ultra-smooth bottom electrode surface for depositing magnetic tunnel junctions
WO2018179061A1 (ja) * 2017-03-27 2018-10-04 日立化成株式会社 研磨液、研磨液セット及び研磨方法
US11043151B2 (en) * 2017-10-03 2021-06-22 Cmc Materials, Inc. Surface treated abrasive particles for tungsten buff applications
KR102546609B1 (ko) * 2018-07-13 2023-06-23 오씨아이 주식회사 실리콘 기판 식각 용액
JP7028120B2 (ja) * 2018-09-20 2022-03-02 Jsr株式会社 化学機械研磨用水系分散体及びその製造方法、並びに化学機械研磨方法
TWI755060B (zh) * 2019-11-15 2022-02-11 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
KR20220000284A (ko) * 2020-06-25 2022-01-03 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물
US11274230B1 (en) * 2021-04-27 2022-03-15 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing composition and method of polishing a substrate having enhanced defect inhibition
US20220348788A1 (en) * 2021-04-27 2022-11-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing composition and method of polishing a substrate having enhanced defect reduction
KR20240051551A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051552A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051553A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051550A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
FR2789998B1 (fr) * 1999-02-18 2005-10-07 Clariant France Sa Nouvelle composition de polissage mecano-chimique d'une couche en un materiau conducteur d'aluminium ou d'alliage d'aluminium
EP1739146A3 (en) * 1999-07-07 2007-01-24 Cabot Microelectronics Corporation CMP compositions containing silane modified abrasive particles
EP1104778B1 (en) * 1999-11-22 2004-11-03 JSR Corporation Method of production of composited particle for chemical mechanical polishing
EP1263906A1 (en) * 2000-02-02 2002-12-11 Rodel Holdings, Inc. Polishing composition
KR100504359B1 (ko) 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법
JP2001345295A (ja) * 2000-03-31 2001-12-14 Nikko Materials Co Ltd 化学機械研磨用スラリー
TW200517478A (en) * 2003-05-09 2005-06-01 Sanyo Chemical Ind Ltd Polishing liquid for CMP process and polishing method
EP1622742A4 (en) * 2003-05-12 2009-06-10 Advanced Tech Materials CMP COMPOSITIONS FOR STEP II COPPER EQUIPMENT AND OTHER ASSOCIATED MATERIALS AND USE METHOD THEREFOR
US7186653B2 (en) * 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
JP4608196B2 (ja) * 2003-09-30 2011-01-05 株式会社フジミインコーポレーテッド 研磨用組成物
US20050090104A1 (en) * 2003-10-27 2005-04-28 Kai Yang Slurry compositions for chemical mechanical polishing of copper and barrier films
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
JP2007053214A (ja) * 2005-08-17 2007-03-01 Sumitomo Bakelite Co Ltd 研磨用組成物
JP2007095946A (ja) * 2005-09-28 2007-04-12 Fujifilm Corp 金属用研磨液及び研磨方法
JP2007214155A (ja) 2006-02-07 2007-08-23 Fujifilm Corp バリア用研磨液及び化学的機械的研磨方法
JP2007273910A (ja) * 2006-03-31 2007-10-18 Fujifilm Corp 研磨用組成液
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US9129907B2 (en) * 2006-09-08 2015-09-08 Cabot Microelectronics Corporation Onium-containing CMP compositions and methods of use thereof
JP5094112B2 (ja) * 2006-12-28 2012-12-12 富士フイルム株式会社 研磨液
JP5094139B2 (ja) * 2007-01-23 2012-12-12 富士フイルム株式会社 研磨液
JP5202258B2 (ja) * 2008-03-25 2013-06-05 富士フイルム株式会社 金属研磨用組成物、及び化学的機械的研磨方法

Also Published As

Publication number Publication date
WO2010033156A3 (en) 2010-05-20
EP2356192A2 (en) 2011-08-17
WO2010033156A2 (en) 2010-03-25
US8252687B2 (en) 2012-08-28
EP2356192B1 (en) 2020-01-15
CN102159662B (zh) 2014-05-21
IL211576A0 (en) 2011-05-31
MY150487A (en) 2014-01-30
EP2356192A4 (en) 2013-05-22
JP2012503329A (ja) 2012-02-02
TW201016807A (en) 2010-05-01
KR20110069107A (ko) 2011-06-22
CN102159662A (zh) 2011-08-17
KR101247890B1 (ko) 2013-03-26
US20100075502A1 (en) 2010-03-25
IL211576A (en) 2014-05-28
JP5619009B2 (ja) 2014-11-05

Similar Documents

Publication Publication Date Title
TWI388639B (zh) 用於低k介電質之障蔽物漿液
JP6392913B2 (ja) 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
KR101325333B1 (ko) 유전체 필름을 위한 속도 개선 cmp 조성물
WO2007116770A1 (ja) 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
JP5596344B2 (ja) コロイダルシリカを利用した酸化ケイ素研磨方法
US20080057832A1 (en) Chemical-Mechanical Polishing Composition and Method for Using the Same
EP1812523B1 (en) Metal ion-containing cmp composition and method for using the same
EP3891236B1 (en) Composition and method for metal cmp
JP2003197573A (ja) メタル膜絶縁膜共存表面研磨用コロイダルシリカ
KR102322420B1 (ko) 저결점의 화학적 기계적 폴리싱 조성물
JP2008124377A (ja) 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
US20230059396A1 (en) Composition and method for cobalt cmp
JP4984032B2 (ja) 化学機械研磨用水系分散体および化学機械研磨方法
JP7173879B2 (ja) 研磨用組成物および研磨システム
JP2013065858A (ja) 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット