TWI378505B - A new material for contact etch layer to enhance device performance - Google Patents
A new material for contact etch layer to enhance device performance Download PDFInfo
- Publication number
- TWI378505B TWI378505B TW094111139A TW94111139A TWI378505B TW I378505 B TWI378505 B TW I378505B TW 094111139 A TW094111139 A TW 094111139A TW 94111139 A TW94111139 A TW 94111139A TW I378505 B TWI378505 B TW I378505B
- Authority
- TW
- Taiwan
- Prior art keywords
- film
- stress
- nitride
- btbas
- vapor deposition
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims description 13
- 150000004767 nitrides Chemical class 0.000 claims description 65
- 238000000034 method Methods 0.000 claims description 60
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 36
- 239000002243 precursor Substances 0.000 claims description 33
- 230000008569 process Effects 0.000 claims description 33
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 claims description 30
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 23
- 150000001875 compounds Chemical class 0.000 claims description 22
- 238000004518 low pressure chemical vapour deposition Methods 0.000 claims description 21
- 229910052799 carbon Inorganic materials 0.000 claims description 20
- 238000004519 manufacturing process Methods 0.000 claims description 20
- 238000006243 chemical reaction Methods 0.000 claims description 19
- 238000005229 chemical vapour deposition Methods 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 14
- 230000008021 deposition Effects 0.000 claims description 14
- 229910052757 nitrogen Inorganic materials 0.000 claims description 14
- 229910000069 nitrogen hydride Inorganic materials 0.000 claims description 13
- 229910052710 silicon Inorganic materials 0.000 claims description 13
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 12
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 9
- 239000004065 semiconductor Substances 0.000 claims description 9
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 9
- 239000007795 chemical reaction product Substances 0.000 claims description 8
- 238000000137 annealing Methods 0.000 claims description 6
- MSMBMPVUCWOJPY-UHFFFAOYSA-N 1-N,1-N'-ditert-butyldecane-1,1-diamine Chemical compound C(C)(C)(C)NC(NC(C)(C)C)CCCCCCCCC MSMBMPVUCWOJPY-UHFFFAOYSA-N 0.000 claims description 5
- 229910021529 ammonia Inorganic materials 0.000 claims description 5
- 239000000126 substance Substances 0.000 claims description 5
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 claims description 3
- 239000000047 product Substances 0.000 claims description 2
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 claims 1
- 150000004687 hexahydrates Chemical class 0.000 claims 1
- 238000005728 strengthening Methods 0.000 claims 1
- 239000010408 film Substances 0.000 description 93
- 239000007858 starting material Substances 0.000 description 13
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 11
- 230000008901 benefit Effects 0.000 description 9
- 125000006850 spacer group Chemical group 0.000 description 9
- 235000012431 wafers Nutrition 0.000 description 8
- 239000010409 thin film Substances 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- -1 bis-Butane amino decane Chemical compound 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 230000006835 compression Effects 0.000 description 3
- 238000007906 compression Methods 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 229910052758 niobium Inorganic materials 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical group [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- IOVCWXUNBOPUCH-UHFFFAOYSA-M Nitrite anion Chemical compound [O-]N=O IOVCWXUNBOPUCH-UHFFFAOYSA-M 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 239000005864 Sulphur Substances 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical class CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 210000003298 dental enamel Anatomy 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229910052736 halogen Chemical group 0.000 description 1
- 150000002367 halogens Chemical group 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005204 segregation Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000004575 stone Substances 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7843—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Ceramic Engineering (AREA)
- Plasma & Fusion (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
九、發明說明: 【發明所屬之技術領域】 1本發明大體關於積體電路的製作,尤其關於薄膜及其製 及其應用於半導體裝置製作的優點制是在快速熱 化學氣相沉積(RTCVD)製程。 【先前技術】 就習知技術而言,已有用各種形式之化學氣相沉積 (CVD)製程形成各種薄膜,並應用於製造電路(如製造電晶 體)。CVD製程的實例如低壓氣相沉積(LPCVD) '電衆加強化 學氣相沉積(PECVD)、高密度電漿化學氣相沉積、快速熱化 學氣相沉積、循環沉積(cyclic deposition,CLD)、原子雷射沉 積(ALD)、以及混合式沉積(MLD)(即CLD及ALD的混合)等 等。各種沉積製程彼此間有明顯不同的條件(溫度、壓力、流 量等等)、設備、參數(基板、時間等等)、以及其他變數。 此等相異之沉積製程已揭示各種不同的薄臈及其生產 方法。 以LPCVD製程所生產之薄膜為實例,如美國專利 5,874,368 ( 1999年2月23日)’其揭示在LPCVD的反應爐 中,壓力範圍為20 mTorr到2 Torr,溫度範圍為500到800 ◦C的條件下’自雙第三丁氨基矽烷(BTBAS)形成氮化矽。亦 4IBM/05029TW ; FIS9-2003-0424(JL) 1378505 可參見Laxman等人之揭示,即於550-600°C下進行的LPCVD 製程(A low-temperature solution for silicon nitride deposition, Solid State Technology,April 2000, 79)。 美國專利6,046,494(2000年4月4曰)揭示在一相當低 的溫度及無電漿狀況下形成半導體裝置中的一絕緣層,以降 低負向偏壓溫度的不穩定性並減小掺雜物偏析。其亦說明如 何在一 LPCVD反應爐中製造氮化石夕内襯物的方法。使用氯化 的矽烷氣體製造此氮化層。 另一種在LPCVD批式反應爐中進行的沉積,如美國專 利6,268,299 (2001年7月31曰),揭示用作阻障層的富矽氮 化石夕薄膜。沉積氮化矽薄膜係使用各種含矽前驅物以及 ΝίΪ3 ’含矽前驅物如雙第三丁氨基矽烷(BTBAS)、HCD、SiH4、 等等。藉由改變含矽前驅物及NH3的流量調整矽對氮的比例。 美國專利6,268,299(2001年7月31曰)揭示一種低溫製 程係採用細4、二氯矽烷(DCS)、BTBAS、HCD以及這些氣 體的混合物在LPCVD製程中沉積氮化物阻障層》NH3對前驅 物的流量比例依所給定之不同的Si : N比例而改變。
I 曰本專利2003〇5Μ52(2003年2月21日)揭示以LPCVD 反應爐沉積BTBAS氮化物。據其說法,最佳化此清潔製程可
4IBM/05029TW ; FIS9-2003-0424(JL) 改善膜厚的精確度。 以LPCVD反應爐製造的另〆種薄膜有如曰本專利 2001230248A(2002年11月26日),其揭示以LpCVD反應爐 沉積BTBAS氮化物。 當然,LPCVD只是習知CVD多個製程中的一種。其他 製程還包含PECVD以及HDPCVD製程。 以PECVD以及HDPCVD製造薄膜的實例,如與本發 明部份相同之發明人之美國專利申請案2002/0090835 A1 (2002年7月11曰),其揭示藉由具電漿能量的BTBAS以及 相關化合物將碳引入,以形成氮化物薄膜,係特別適合於 PECVD以及HDPCVD製程。 其他沉積製程包含CLD、ALD及MLD。以CLD、ALD 及MLD製造薄膜的實例如美國專利申請案2003/0059535 A1 (2003年5月27日)。Cld、ALD及MLD的沉積揭示使用各 種前驅物形成氮化矽及其他材料。這些反應是在冷壁 (cold-wall)反應器中執行。 RTCVD為沉積的另一種類型。有些薄膜在RTCVD製 程中產生。如美國專利6,153,261(2000年11月28日)所揭示, 4IBM/05029TW ; FIS9-2003-0424(JL) 1378505 在RTCVD製程中使用BTBAS沉積氮化矽及氧化石夕。也可參 考美國專利申請案2001/0000476A1 (2001年4月26曰)。 美國專利6,455,389 (2002年9月24日)揭示一種 RTCVD製程,其所形成的一間隙壁層即為一氮化矽。以矽烷 或二氣石夕烧與氨反應形成此氮化石夕。Huang等人描述一種 RTCVD製程’一般而言,其反應腔之溫度約為650到700°C, 反應腔的壓力約200到600 torr,此RTCVD沉積所進行的時 間約是2到4分鐘。 氮化物薄膜有多種不同之應用。但是,要符合一特定應 用’其問題卻變化多端,且可能是相當複雜的。例如在半導 體裝置上,對一特疋裝置有显之功能,有很多不同性質都很 重要。製造一特定裝置要考慮許多挑戰性的問題。已有各種 不同製造技術被提議,以下僅列出其中某些實例。 例如’在一方面,可考慮引入高含量的碳。美國專利申 請案2001/0034129Α1 (2001年10月25日)揭示用於高碳濃 度層的一種蝕刻方法,係使用TE0S、BTBAS、ca4、e〇2 等作沉積。使用BTBAS及NH3之CVD.可形成間隙壁。 美國專利申請案2002/0111039 (2002年8月15日)揭示 以BTBAS及含氮氣體所形成之特定低介電常數的氧氮化石夕 -10- 4mM/〇5029TW ; FlS9-2003-0424(JL) 1378505 間隙壁,鋪由計量化學的㈣與其他㈣來改變濕侧速 • 率。亦教示引入碳,以改善對乾钱刻速率的阻抗性。 美國專利申請案2002/0127763 (2002年9月12曰)教 示使用BTBAS、〇2及NH3之LPCVD,在原址沉積氧化物_ 氮化物-氧化物,以形成一 L型間隙壁。此係提供L型間隙壁 的另一種低成本的選擇,據說其更適於缺口的填充以利後續 φ 形成之介電膜。 製造過程中可能需要保護閘極堆疊以免受到反應性離 子蝕刻(RIE)、溼式蝕刻等侵蝕,如在美國專利申^請案 2田003/0068855 A1 (2003年4月10日)所揭示一種位在閘極堆 4:上的氣化物晶核(晶種),在此晶種層上,藉由AS再沉 積氮化層。BTBAS氮化物中的碳,係用來保護閘極堆疊以 免受到侵。 美國專利6,586,814號揭示利用BTBAS氮化物以形成 淺溝渠隔離(STI),其藉由BTBAS氮化物之抗蝕刻特性以助 於STI填充物之侵蝕。 美國專利申請案2003/0127697 A1 (2003年7月10曰) 揭示,切割多個電晶體的主動區域,用作各個閘極電極,且 在相鄰閘極電極間形成一薄的STI,用以在PFET通道中產生 4IBM/05029TW ; FIS9-2003-0424(JL) -11 - 1378505 壓縮》 在半導體電晶體中,有一些討論係關於另一種特性,即 為應力。例如,美國專利申請案2002/0063292 A1所揭示在通 道中產生局部應力之特定晶向,並概略地提到高拉伸氮化物 毛貝,但;又有特別揭示南拉伸的量測值為何,或是什麼樣 的特定氮化物才是高拉伸氮化物的實例。 7 美國專利申請案2002/179908(2002年12月5日)教示多 種引入雜質的方法,且藉由引人㈣及退火以控制薄膜電晶 體(TFT)中線路的内應力。 ' 美國專利6,573,172(2003年6月3日)揭示於PM〇s及 NMOS上形成不同應力程度的pECV〇氮化物。 當然,要最佳化半導體裝置的任何一個特性(例如盘應 力相關的躲)’也必職滿足其鱗乡必要躲無能的考 量相互平衡。 在此亦附帶提職路製造上,域1所示之組件, ^ ’在晶圓製造過程中,内襯氮化物1(如氮化物薄膜)係覆 ;=裝置主動層21的裝置2上。已知製造晶圓時所使用不 _式的減物_,可提料同狀的應力。NGvdius之 4IBM/05029TW ; FIS9-2003-0424(JL) -12- 1378505 電漿加強化學氣相沉積(PECVD)、Applied的PECVD、以及 Applied Materials的快速熱化學氣相沉積(RTCVD)等工具都 可提供拉伸氮化物薄膜’且應力通常高達+10G dynes/cm2, 如習知產品的某些實例:Novellus,+2.5G dynes/cm2 ; PECVD ’ +4.5G dynes/cm2; RTCVD SiH4,+9.8G dynes/cm2。 内襯氮化物的另一個實例,見於美國專利申請案 2003/0040158 A1。此申請案揭示兩個隔開的内襯物,各具不 同應力以改善遷移率。其中一個内襯物是以LPCVD製作,而 另一個是以PECVD製作。 然而’習知薄膜及製造内襯氮化物與其他薄膜的方法, 並非必然具有符合場效電晶體(FETs)與其它應用需求的所有 特徵。例如,習知用作乱化内襯層的氮化物薄膜,為了平衡 ,他必要特徵,並不能提供符合需求的應力。亦缺乏以適當 簡易且可行的生產方法製作具符合需求之特徵的薄膜及半導 體裝置(如FETs)。 【發明内容】 本發明特別考慮到局部機械應力(壓縮或拉伸應力)可 增加場效電晶體(财)中通道遷移率及驅動電流。咖丁中的 拉伸應力與pFET +的壓縮應力可各別地增加載子遷移率。因 此’本發明之—目的為提供符合FET應用需求的應力,如用 4IBM/05029TW ; FIS9-2003-0424(JL) •13- 1378505 作蝕刻終止内襯物的一足夠高的應力(如超過+i〇G dyneSW的應力’較佳實例之應力為約14.5G dynesW)。 再者’本發明還有—目的為提供一種材料,係在反覆退 火後仍可持續呈現符合需求的高應力。 本案發明人認為要可結合以下所述中兩者或更多的條 •.件’操縱薄膜@RTVCD氮化物薄臈)的應力:製造薄膜用的 起始材料前驅物(如含Si、C及N之任何組合的化合物,其較 佳為BTBAS);處理此起始材料前驅物的處理材料(如含氮前 驅物,較佳為適於形成氮化物薄膜的材料,其以為最 佳);起始材料前驅物對處理材料的比例;薄膜成長的cvd 條件(例如RTCVD條件);及/或薄膜成長的厚度。 本發明更有一目的為提供製造高應力氮化物薄膜的方 φ 法,其包含:在快速熱化學氣相沉積(RTCVD)、電漿加強化 學軋相沉積(PECVD)、或低壓化學氣相沉積(LpcVD)條件 下,將含有Si、N及C之任何組合的化合物與反應,自_ 此反應步驟令形成超過+l〇G dynes/cm2之應力供應值(stress provision value)的一高應力薄膜。上述方法的一些選擇性細節 如後所述。在本發明之方法的一較佳實施例中,反應係在3 到15原子%的碳濃度下進行。此含Si、N及c之化合物較佳 可為 4IBM/05029TW ; FIS9-2003-0424(JL) -14- 1378505 (R-NH)4.nSiXn (I) 其中R為烧基(其可為相同或相異的),n為1、2或3,而x 為Η或鹵素。含Si、N及C的最佳化合物為雙第三丁氨基石夕 烷(BTBAS)。 在另一較佳實施例中,本發明提供製造氮化物薄膜的製 程,其包含:在RTCVD、PECVD或LPCVD條件下,溫度 範圍為約500到7〇〇°C,壓力範圍為約5〇到5〇〇 T,將含Si、 N及C之任何組合的化合物(A)與含氮前驅物(如反 應。 本發明之製程及方法的進一步較佳實施例如下。在上述 反應步驟的過程中’更可包含添加至少一化合物,如石夕烧、 二矽烷、六氯矽烷(hexachloro disilane)以及其他以矽烷為主 體的化合物。自此反應步驟可能形成具有超過+1〇 G dynes/cm2量之高應力供應值(stress-provision)。此反應步驟可 在溫度為約500至700°C之範圍中進行。此反應步驟可在壓力 為約50至500T之範圍中進行。 本發明另一較佳實施例提供氮化矽薄膜,包含具高應力 供應值的薄膜,其量係超過+l〇G dynes/cm2,例如,一種含 有雙第三丁氨基矽烷(BTBAS)與NH3之反應產物的薄膜(如 化學結構為Si?NyCzHw的反應產物,其中X、y、2.及w係個 4IBM/05029TW ; FIS9-2003-0424(JL) •15· 別為大於零的整數或非整數);一種具約+14至+18G dynes/cm2之應力的薄臈;等等。 本發明更另一較佳實施例提供半導體晶圓的製造方 法’其包含.以具有超過+10Gdynes/cm2拉伸應力(較佳之 拉伸應力至少為+14.5 dynes/cm2)的氮化矽内襯物,覆蓋一 裝置主動層的至少一部分,例如較佳為氮化矽内襯物,包含 氮化物薄膜,此氮化物薄臈為BTBAS與NH3的反應產物。 本發明的半導體製造方法之一較佳實施例係,裝置主動層完 全為氮化石夕内襯物所覆蓋。覆蓋的步驟可以在例如 製程或CVD製程中進行。
本發明還有另一較佳實施例係提供以RTCVD、pECVD 或LPCVD製程形成的内襯氮化物。本發明之創新内襯物的較 佳貫例包含一種氮化物薄膜,此氮化物薄膜為如BTBAS與 NH3之反應產物。本發明之内襯物的另一較佳實例為一種内 槪物,其氮化物薄膜具有超過+l〇Gdynes/cm2的拉伸應力, 例如具有至少為+14 5G dynes/cm2拉伸應力的氮化物薄膜。本 發明内襯層的另-雛實例為氮化物薄膜,此氮化物薄膜為 經氨處理之BTBAS反應產物’係可在反覆退火後保持相當高 的應力程度。 應可瞭解,本發明在另一較佳實施例中提供一種調整氮 4IBM/05029TW ; FIS9-2003-0424(JL) -16· 1378505 化物溥膜應力程度的方法,其包含調整選自以下所組成群組 中之至少兩者: '
⑴麟用於製作氮化物薄膜触始材料前驅物(例如,起始材 料刖驅物為含Si、C及N之任何組合的化合物,較佳為 BTBAS) ; (2)選擇含氮前驅物,用以處理此起始材料前驅物; (3)起始材料前驅物對含氮前驅物的比例;(4)一組薄膜成長的 CVD條件;以及(5)薄膜成長的厚度(例如,厚度可在約5〇至 ι,οοο埃的範圍等等)。在一較佳實施例中,CVD條件係為溫 度在約500至700。(:之範圍,壓力在約5〇至5〇〇丁的範圍, 時間則在約30至600秒的範圍。在一較佳實施例中,本發明 之應力程度調整方法’供調整氮化物薄膜之應力程度在谓G 至+18G dynes/cm2的範圍。在另一較佳實施例,氮化物薄膜 為經氨處理之BTBAS薄膜。 、 【實施方式】 、本發雜-狀的紐實施射,運肋下所述中兩者 以上之條件’提供-種調整薄膜(如氮化物薄膜)應力程度的方 法: 一用於製造薄膜的起始材料前驅物(如含Si、c&N2 任何組合的化合物’較佳為BTBAS); ^ —含氮前軀物,以此含氮前軀物處理起始材料前驅物 (較佳為適於職統物_材制含氮前驅物,以贿3為最 -17- 4IBM/05〇29TW;FIS9-2003-〇424(JL) 起始材料前驅_含氮前軀物 的比例; 組薄臈成長的CVD條件(例如RTCVD的條件,較佳 RTCVD條件為溫度在約5〇〇至WC之範圍,壓力在約50到 範圍’時間則在約30至600秒的範圍);及/或 薄膜成長的厚度(例如約50到1,〇〇〇埃的厚度)。 依據本發明調整薄膜應力的方法,在一較佳實施例中, 選擇,造薄顧的起始材料前驅物與含氮前驅物,以形成氮 化物薄膜’其BTBAS前驅物與卿氣體之反應形成氮 化物薄膜是攸錄佳的結合。可彳練喊處理BTBAS所形 成之氮,物薄臈使其具有高應力程度(如超過+l〇G dynes/cm的應力程度),藉由操縱一個或多個其餘之操縱變因 來選擇所需要的數值,即起始材料前驅㈣含氮前驅物之比 例、一組薄膜成長的CVD條件、及/或薄膜成長的厚度,使 其所具之待疋應力數值在+l〇G dynes/cm2至+1犯 dynes/cm2的範圍内。 參考圖1之示範性晶圓構造組件4,以進一步討論氮化 物薄膜的實例’其中,覆蓋裝置2之主動層21的内襯氮化物 1(其可為習知内襯氮化物,或本發明之内襯氮化物),可產生 強機械應力。與晶圓製造中習知的氮化物薄膜實例比較,本 發明可提供较優越的性能(如較大及/或不同型式的應力)。應 可瞭解圖1係示範說明用’本發明不應受限於圖1之安排。 4IBM/05029TW ; FIS9-2003-0424(JL) 18 1378505 晶圓構造組件(如圖!之組件4)中,本發明的優點為提供大於 及/或異於習知工具所提供之應力(習知工具中的某些實例,如
Wovellus P£CVD、Applied PECVD、以及 Applied Materials RTCVD等跡f知_駐具’其可提供應力通常可達到約 +10Gdynes/cm2的拉伸氮化物薄膜,如圖2所示)。 相對於習知氮化物薄膜而言,本發明的優點在於提供一 鲁 種具較尚拉伸應力的薄膜(例如,超過+1〇G dynes/cm2的拉 伸應力,較佳實施例約+14 5 dynes/cm2 )。還有另一優點, 即不像許夕驾知的薄膜,本發巧的薄膜所提供的應力不因後 續退火而有明顯改變。 要製造本發明之示範性薄膜,可使用一含Si、N、C之 任何組合的化合物作為—起始材料,其中以雙第三丁氨基石夕 烧(BTBAS)前驅物為一較佳實施例。BTBAS是可在市面上獲 φ 彳于的試劑,並且有非氯前驅物的優點。 本發明中,含Si、N、及C之化合物係與一適當的薄膜 形麵j如NH3 it行反應,呢較佳係於軸氮化物薄膜之條 件下。NH3更加係於形成具符合需求之應力量(如超過+1〇G ynes/cm的應力,較佳為在約+14至dynes/cm2的範 圍内)及其他特性(如經反覆退火,應力仍可保持之特性)的氮 化物薄膜之條件下。 4IBM/05029TW ; FIS9-2003-0424(JL) •19- 1378505 根據本發明之反應,其中含Si、N及c之化合 btbas前驅物)係做為起始材料’且可執行於rtcvd條 =加係於RTCVD工具中,如市面上之Applied細剛 Centum RTCVD工具)、PECVD條件下、LPCVD條件下等等。 本發明提供具高應力程度的薄膜,例如一特定較佳 • 了之RTCVD經氣處理BTBAS之氮化物薄膜。可藉薄膜^度 刼縱薄膜應力程度,例如增厚薄膜(藉由增加含c、沿、及二 起始材料(如BTBAS)及處理材料(如呵)的量,或增加 的時間以增加應力程度。 、 本發明之薄膜(如氮化物薄膜)可用於,例如,作為一蝕 刻?止(阻障)内觀氮化物’如圖1中之内襯物1。應可瞭解圖 1是為7F範說觀,本發明之内襯物可用於其他結構中。此 魯 彳’本㈣之薄+也可用作淺溝渠隔離(STI)峨物 隙壁等等。1 间 與習知PECVD薄膜比較,應可瞭解本發明所提供的薄 膜在某些方_優越性(例#具有高拉伸應力及/或應力值的 低變異性)。雖然習知已有多獅式的pECVD薄膜用於產生 某些拉伸應力’可惜這些PECVD薄膜大都$能產生符合需求 的拉伸應力。在-败讀佳實補巾,本發明提供rtcvd -20- 4IBM/05029TW ; FIS9.2003^0424(JL) 1378505 BTBAS 11化物薄膜’係能如期望地提供較高的拉伸應力,例 •如超過+10G dynes/cm2的應力’較佳為超過+1〇G dynes/cm2 的應力’如範圍在+14至+l8Gdynes/cm2的應力。 此外’本發明的好處亦在於提供一種BTBAS氮化物薄 膜’其應力值的變異比PECVD薄膜還小。另一方面自本發 明BTBAS減物_所獲得的應力,其再現性相當好,且^ • 會輕易受到製程參數的影響。一種能抵抗製程參數之影響的 BTBAS氮化物薄臈為本發明的優點。 本!X明之薄膜可以沉積想要的厚度,細厚度的較佳範 圍在約50至1,_埃。本發明更可藉改變薄膜厚度給定符合 ,需求的應力程度。例如,改變氮化物薄膜的厚度可提供各種 ==;。在設定一 例如’要成功地使用本發明的薄膜(如BTBas薄 ”止内襯物’應小心選擇間隙壁氮化物的厚度及應 運用St月薄膜所給定之應力比習知薄膜較好係因為 在間極角落的拉伸應力,如果間隙壁太厚,或;= ,力太^發簡膜(如BTBAS _)的效果就會縮小, 备以柄明薄膜作侧終止内襯物時,對此特定之岸用而 4IBM/〇5〇29TW;FIS9.2〇〇3_〇424(JL) * -21 - 1378505 D ’應選擇;I;會太厚或應力不會太高的間隙壁。 本發明之薄膜(如BTBAS薄膜)係有利於以下之應用: 產生拉伸應力、提供間隙壁、以及其他作為rtcvd _薄 膜或用於形成間隙壁的PECVD薄膜等已知之應用。相較於習 知的氮化物薄膜,本發明的優點在於所提供的間隙壁(如 BTBAS間隙壁)有較好的共形性及負載效應。 以下提出本發明的數個實例,本發明不受此等實例之限 制。 本發明之實例1 於單一晶圓反應器中反應BTBAS與NH3以沉積一薄 膜。選擇製程條件以給定3至15原子%(at〇mic%)之碳濃卑。 • 獲得相對於習知薄膜較好的驅動電·流的裝置資料。圖3 及圖4顯示npET與pFET的電性特性。相較於pEcvD拉 伸氮化物薄膜的裝置(及PECVD壓縮氮化物薄膜),btbas 氮化物可提供較高的nFET驅動電流。本發明實例之薄膜在 P ET之驅動電流也沒有衰退現象。η;ρΕτ驅動電流的改善取 決於局部應變、裝置之幾何形狀及氮化物的厚度。一般而言, =寬度較長的nFET裝置,厚度為500埃的BTBAS可改善 8% nFET電流,而厚度為75〇埃的BTBAS則可有額外3% 4IBM/05029TW ; FIS9-2003-0424(JL) • 22- 驅動電流也沒有衰退現象。
的電流改善。在pFET 因為涌、首I〇dHn資料係建議,nFET驅動電流改善的主要原 下較較好的载子遷料,以及祕與汲極巾及間隙壁 本發明之實例2 碳濃度 溫度 壓力 在RTCVD製程中’BTBAS與NH3在以下條件中反應: ~ 6 到 10% 650〇C 140 torr 矽烷、二矽烷、六氣二矽烷無 膜 7成厚度500到750埃的薄膜。也可形成其他厚度之薄 本發明 【圖式簡單說明】 參考本文較佳實施例與所附圖式之詳細說明應可更瞭 解本發明前述之目的,或其他目的、態樣以及優點。 4IBM/05029TW ; FIS9-2003-0424(JL) -23- 圖1為習知組件係包含覆蓋裝置主動層的内襯氮化物。 圖2為顯示經氮化物沉積後之薄膜,其壓縮與拉伸應 力的圖表’此等薄膜係包含習知的薄膜與本發明之薄膜 (BTBAS) 〇 圖3為nFETs之I〇ff (關閉電流)對1〇11(開啟電流)的圖 表’包含習知PECVD方法之nFET以及本發明創新之nFET (^TBAS) 〇 圖4為pFETs之I〇ff對ι〇η的圖表,包含習知據pecvd 方法之pFET以及本發明的pFET (BTBAS)。 圖5為Iodlin資料,顯示PECVD(習知)與BTBAS(本發 明)資料之間的關係。 圖6為一圖表’為加熱器溫度為675X:,壓力275 torr, BTBAS流量為1 slm。菱形點顯示應力,方形點顯示速率。χ 軸為代表氨流量(SCCm);左邊y軸顯示應力;右邊y軸顯示沉 積速率。 圖7為雙第三丁氨基石夕烷(BTBAS)的化學結構。. 【主要元件符號說明】 1 内襯氮化物 2 裝置 3 淺溝渠隔離(STI) 4 晶圓構造組件 21主動層 4IBM/05029TW ; FIS9-2003-0424(JL) 24
Claims (1)
- 案號:94111139 101年07月19日修正-替換頁 、申請專利範圍: 一種製造高應力氮化物薄膜的方法,包含: 一反應步驟,係使一化合物(A)與nh3(b)反應,該化 合物(A)包含Si、N及C之任何组合,係於快速熱化學氣 相沉積(RTCVD)、電漿加強化學氣相沉積(PECVD)、或低 壓化學氣相沉積(LPCVD)之條件下’自該反應步驟形成具 有一應力供應值超過+l〇Gdynes/cm2之一高應力薄膜。 如請求項1所述之方法,更包含在該含Si、N及C化合 物與NH3反應過程中,加入至少一個化學化合物,係選 自以下所組成的群組:矽烷、二矽烷、六氣二矽烷及其他 以矽烷為主體的化合物。 如請求項1所述之方法,其中該化合物(A)為一非氣化合 物。 如請求項1所述之方法,其中該含以、1^及(:之化合物 為(R-NH)4_nSiXn (I) 其中R為一院基群(其可為相同或相異的),η為1、 2或3 ’而X為Η或齒素。 如請求項1所述之方法,其中該化合物為雙第三丁氨 基矽烷(BTBAS)。 -25· 1ΛΙ ^ 系琨·舛丨丨〗139 1〇ι年07月19曰修正-替換頁 如請求項1所述之方法,其中該反應步驟為雙第r查 石夕垸(BTBAS)與NH3^應。 乳基 如請求項1所述之方法,其中該反應係在3至15原子% 的碳濃度下進行。 如請求項1所述之方法,其中一内襯氮化物係藉由快速熱 化學氣相沉積(RTCVD)、電漿加強化學氣相沉積 (PECVD)、或低壓化學氣相沉積(LPCVD)形成,該内襯物 包含: 一氮化物薄膜,係為雙第三丁氨基矽烷(BTBAS)與 NH3的一反應產物。 如請求項8所述之方法,其中該氮化物薄膜具有至少 +14·5G dynes/cm2 的拉伸應力。 如請求項8所述之方法,其中該經氨處理的BTBAS反應 產物’在反覆退火後仍保持至少+14G dynes/cm2的拉伸應 力0 一種製造一氮化物薄膜的製程,包含: 在快速熱化學氣相沉積(RTCVD)、電漿加強化學氣相 1378505 案號:94111139 101年07月19日修正-替換頁 沉積(PECVD)、或低壓化學氣相沉積(LPCVD)之條件下, 一溫度範圍為約500至70(rc,一壓力範圍為約5〇至 500T,反應(A)與(B), (A) 為包含Si、N及C之任何組合的一化合物, (B) 為一含1前驅物。 其中自該反應步驟形成具有一應力供應值超過+⑴G dynes/cm2之一高應力薄膜。 12. 如請求項11所述之製程,其中該含氛前驅物為卿。 13. 如請求項11所述之製程’其中BTBAS係與戰反應, 碳濃度為約6至10%,溫度為仍此,壓力為M〇 t⑽。 14. 如請求項11所述之製程,其中該薄膜包含雙第三丁氨基 矽烷(BTBAS)與NH3的—反應產物。 15. 如請求項η所述之製程,其中該反應產物具sixNAHw 之-化學結構’其中x、y、z及w各為大於零的整數或 非整數。 16·如請求項11所述之製程,其中該薄膜所提供之應力在約 +14 至+18G dynes/cm2 的範園。 •27- 1378505 案號:94111139 101年07月丨9曰修正-替換頁 17. —種半導體晶圓製造之方法,包含: 以具超過+10Gdynes/cm2之拉伸應力的一氮化矽内 觀物覆蓋一裝置主動層的至少一部分。 18·如請求項17所述之方法,其中該氮化矽内襯物之拉伸應 力為至少 +14.5G dynes/cm2。 φ I9·如請求項17所述之方法,其中該氮化矽内襯物包含一氮 化物薄膜,係為雙第三丁氨基矽烷出1^八幻與ΝΗ]的一 反應產物。 2〇.如δ月求項Π所述之方法’其中該氮化物薄膜完全覆蓋該 裝置主動層。 21.如晴求項π所述之方法,其中該覆蓋步驟是在一快速化 學氣相沉積(RTCVD)製程過程中。 -28-
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/835,949 US7001844B2 (en) | 2004-04-30 | 2004-04-30 | Material for contact etch layer to enhance device performance |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200536019A TW200536019A (en) | 2005-11-01 |
TWI378505B true TWI378505B (en) | 2012-12-01 |
Family
ID=35187673
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW094111139A TWI378505B (en) | 2004-04-30 | 2005-04-08 | A new material for contact etch layer to enhance device performance |
Country Status (4)
Country | Link |
---|---|
US (2) | US7001844B2 (zh) |
JP (1) | JP4906270B2 (zh) |
CN (1) | CN100459065C (zh) |
TW (1) | TWI378505B (zh) |
Families Citing this family (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7119016B2 (en) * | 2003-10-15 | 2006-10-10 | International Business Machines Corporation | Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion |
US20050287747A1 (en) * | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
US7268399B2 (en) * | 2004-08-31 | 2007-09-11 | Texas Instruments Incorporated | Enhanced PMOS via transverse stress |
US20060099763A1 (en) * | 2004-10-28 | 2006-05-11 | Yi-Cheng Liu | Method of manufacturing semiconductor mos transistor device |
JP2006165335A (ja) * | 2004-12-08 | 2006-06-22 | Toshiba Corp | 半導体装置 |
US20060172556A1 (en) * | 2005-02-01 | 2006-08-03 | Texas Instruments Incorporated | Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor |
US7265015B2 (en) * | 2005-06-30 | 2007-09-04 | Promos Technologies Inc. | Use of chlorine to fabricate trench dielectric in integrated circuits |
CN101341591B (zh) * | 2005-12-19 | 2013-01-02 | 富士通株式会社 | 半导体器件及该半导体器件的制造方法 |
US20070196991A1 (en) * | 2006-02-01 | 2007-08-23 | Texas Instruments Incorporated | Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor |
US8017472B2 (en) * | 2006-02-17 | 2011-09-13 | Infineon Technologies Ag | CMOS devices having stress-altering material lining the isolation trenches and methods of manufacturing thereof |
JP2008053553A (ja) * | 2006-08-25 | 2008-03-06 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US7790540B2 (en) * | 2006-08-25 | 2010-09-07 | International Business Machines Corporation | Structure and method to use low k stress liner to reduce parasitic capacitance |
US20080096331A1 (en) * | 2006-10-04 | 2008-04-24 | Neng-Kuo Chen | Method for fabricating high compressive stress film and strained-silicon transistors |
CN101192533B (zh) * | 2006-11-28 | 2010-06-16 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、蚀刻阻挡层的形成方法 |
US20080293194A1 (en) * | 2007-05-24 | 2008-11-27 | Neng-Kuo Chen | Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor |
JP5309619B2 (ja) * | 2008-03-07 | 2013-10-09 | ソニー株式会社 | 半導体装置およびその製造方法 |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
KR101789592B1 (ko) | 2010-11-08 | 2017-10-25 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
JP5975617B2 (ja) | 2011-10-06 | 2016-08-23 | キヤノン株式会社 | 固体撮像装置およびその製造方法ならびにカメラ |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US8796098B1 (en) * | 2013-02-26 | 2014-08-05 | Cypress Semiconductor Corporation | Embedded SONOS based memory cells |
CN103247649A (zh) * | 2013-05-07 | 2013-08-14 | 上海华力微电子有限公司 | 减小图像传感器电学互扰的方法 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10541309B2 (en) * | 2017-12-25 | 2020-01-21 | United Microelectronics Corp | Semiconductor structure and method for fabricating the same |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5519850A (en) * | 1978-07-31 | 1980-02-12 | Hitachi Ltd | Semiconductor |
JP3211301B2 (ja) * | 1991-11-07 | 2001-09-25 | カシオ計算機株式会社 | 窒化シリコン膜 |
US20020062066A1 (en) * | 1993-02-22 | 2002-05-23 | Daniel S. Christopher | Endoscopic retraction system and method |
US5633202A (en) * | 1994-09-30 | 1997-05-27 | Intel Corporation | High tensile nitride layer |
US5874368A (en) * | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6251802B1 (en) * | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6153261A (en) * | 1999-05-28 | 2000-11-28 | Applied Materials, Inc. | Dielectric film deposition employing a bistertiarybutylaminesilane precursor |
JP2002083812A (ja) * | 1999-06-29 | 2002-03-22 | Semiconductor Energy Lab Co Ltd | 配線材料およびこれを用いた配線を備えた半導体装置およびその作製方法 |
JP2001156065A (ja) * | 1999-11-24 | 2001-06-08 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および半導体製造装置 |
JP3819660B2 (ja) * | 2000-02-15 | 2006-09-13 | 株式会社日立国際電気 | 半導体装置の製造方法および半導体製造装置 |
US6515350B1 (en) | 2000-02-22 | 2003-02-04 | Micron Technology, Inc. | Protective conformal silicon nitride films and spacers |
US6518626B1 (en) * | 2000-02-22 | 2003-02-11 | Micron Technology, Inc. | Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants |
US6660664B1 (en) * | 2000-03-31 | 2003-12-09 | International Business Machines Corp. | Structure and method for formation of a blocked silicide resistor |
KR100533198B1 (ko) * | 2000-08-18 | 2005-12-05 | 동경 엘렉트론 주식회사 | 저유전성 질화규소막 및 그 형성 방법, 반도체 장치 및 그제조 방법 |
US6268299B1 (en) * | 2000-09-25 | 2001-07-31 | International Business Machines Corporation | Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability |
US7312485B2 (en) * | 2000-11-29 | 2007-12-25 | Intel Corporation | CMOS fabrication process utilizing special transistor orientation |
US6586814B1 (en) * | 2000-12-11 | 2003-07-01 | Lsi Logic Corporation | Etch resistant shallow trench isolation in a semiconductor wafer |
JP2002198368A (ja) * | 2000-12-26 | 2002-07-12 | Nec Corp | 半導体装置の製造方法 |
US20020127763A1 (en) * | 2000-12-28 | 2002-09-12 | Mohamed Arafa | Sidewall spacers and methods of making same |
US6500772B2 (en) * | 2001-01-08 | 2002-12-31 | International Business Machines Corporation | Methods and materials for depositing films on semiconductor substrates |
JP4831885B2 (ja) * | 2001-04-27 | 2011-12-07 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
JP4897159B2 (ja) | 2001-08-03 | 2012-03-14 | 株式会社日立国際電気 | 半導体装置の製造方法 |
JP2003060076A (ja) * | 2001-08-21 | 2003-02-28 | Nec Corp | 半導体装置及びその製造方法 |
US20030059535A1 (en) * | 2001-09-25 | 2003-03-27 | Lee Luo | Cycling deposition of low temperature films in a cold wall single wafer process chamber |
JP3997089B2 (ja) * | 2002-01-10 | 2007-10-24 | 株式会社ルネサステクノロジ | 半導体装置 |
US6573172B1 (en) * | 2002-09-16 | 2003-06-03 | Advanced Micro Devices, Inc. | Methods for improving carrier mobility of PMOS and NMOS devices |
US6812073B2 (en) * | 2002-12-10 | 2004-11-02 | Texas Instrument Incorporated | Source drain and extension dopant concentration |
US7601860B2 (en) * | 2003-10-10 | 2009-10-13 | Advanced Technology Materials, Inc. | Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films |
US20050109276A1 (en) * | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
-
2004
- 2004-04-30 US US10/835,949 patent/US7001844B2/en not_active Expired - Fee Related
-
2005
- 2005-03-17 CN CNB2005100554573A patent/CN100459065C/zh not_active Expired - Fee Related
- 2005-04-08 TW TW094111139A patent/TWI378505B/zh not_active IP Right Cessation
- 2005-04-28 JP JP2005131468A patent/JP4906270B2/ja not_active Expired - Fee Related
- 2005-10-20 US US11/253,622 patent/US20060040497A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
US20050245081A1 (en) | 2005-11-03 |
US20060040497A1 (en) | 2006-02-23 |
CN1694230A (zh) | 2005-11-09 |
TW200536019A (en) | 2005-11-01 |
US7001844B2 (en) | 2006-02-21 |
JP2005317980A (ja) | 2005-11-10 |
CN100459065C (zh) | 2009-02-04 |
JP4906270B2 (ja) | 2012-03-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI378505B (en) | A new material for contact etch layer to enhance device performance | |
KR101164688B1 (ko) | 게이트 스택 측벽 스페이서들을 제조하기 위한 방법 | |
JP5890386B2 (ja) | ケイ素含有フィルムの低温堆積 | |
US7365029B2 (en) | Method for silicon nitride chemical vapor deposition | |
US7473655B2 (en) | Method for silicon based dielectric chemical vapor deposition | |
US7494884B2 (en) | SiGe selective growth without a hard mask | |
US7763945B2 (en) | Strained spacer design for protecting high-K gate dielectric | |
US20140273530A1 (en) | Post-Deposition Treatment Methods For Silicon Nitride | |
US7790635B2 (en) | Method to increase the compressive stress of PECVD dielectric films | |
US7625807B2 (en) | Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication | |
JP2014527315A (ja) | 半導体集積のための反応しないドライ除去プロセス | |
WO2006019603A2 (en) | Thin tungsten silicide layer deposition and gate metal integration | |
KR20110123733A (ko) | 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법 | |
US7939396B2 (en) | Base oxide engineering for high-K gate stacks | |
JP2012104735A (ja) | 半導体装置及びその製造方法 | |
US20070032008A1 (en) | MOS semiconductor devices having polysilicon gate electrodes and high dielectric constant gate dielectric layers and methods of manufacturing such devices | |
KR100821089B1 (ko) | 반도체 소자 및 그 제조 방법 | |
TWI585861B (zh) | 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法 | |
KR100593659B1 (ko) | 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법 | |
JP2006016641A (ja) | 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法 | |
US20080160786A1 (en) | Method for increasing film stress and method for forming high stress layer | |
JP2008010881A (ja) | 半導体装置の製造方法 | |
JP2005277285A (ja) | 半導体装置の製造方法 | |
JP2011009308A (ja) | 半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |