CN100459065C - 氮化硅薄膜及其制造方法 - Google Patents

氮化硅薄膜及其制造方法 Download PDF

Info

Publication number
CN100459065C
CN100459065C CNB2005100554573A CN200510055457A CN100459065C CN 100459065 C CN100459065 C CN 100459065C CN B2005100554573 A CNB2005100554573 A CN B2005100554573A CN 200510055457 A CN200510055457 A CN 200510055457A CN 100459065 C CN100459065 C CN 100459065C
Authority
CN
China
Prior art keywords
film
stress
nitride
nitride film
btbas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005100554573A
Other languages
English (en)
Other versions
CN1694230A (zh
Inventor
A·B·查克拉瓦蒂
S·纳拉辛哈
V·陈
J·霍尔特
S·N·查克拉瓦蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1694230A publication Critical patent/CN1694230A/zh
Application granted granted Critical
Publication of CN100459065C publication Critical patent/CN100459065C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明调整氮化物薄膜的应力级别随着下列因素中的两个或多个因素的变化而变化:用于制造氮化物薄膜的起始材料前体的选择;处理起始材料前体的含氮前体的选择;起始材料前体与含氮前体的比率;薄膜生长的CVD条件的设置;和/或薄膜生长的厚度。通过包含硅、氮和碳的化合物(例如双叔丁基氨基硅烷(BTBAS))与NH3反应制造的快速热化学气相淀积(RTCVD)薄膜可以提供有利性能,例如高应力和蚀刻停止应用的优异性能。氨处理的BTBAS薄膜尤其在提供高应力性能方面是优异的,以及进一步具有在重复退火之后高应力性能的保持能力。

Description

氮化硅薄膜及其制造方法
技术领域
本发明总体涉及集成电路制造,更具体地说,涉及薄膜及其制造和用途,用于在将要制造的半导体器件中,尤其在快速热化学气相淀积(RTCVD)工艺中提供优点。
背景技术
通常,在各种类型的化学气相淀积(CVD)工艺中,制造各种薄膜用于电路制造(如制造晶体管)。各种CVD工艺的例子是低压化学气相淀积(LPCVD)、等离子体增强化学气相淀积(PECVD)、高密度等离子体化学气相淀积(HDPCVD)、快速热化学气相淀积(RTCVD)、循环淀积(CLD)、原子层淀积(ALD)和混合淀积(MLD)(即CLD和ALD的混合)等。各种淀积工艺对于它们的条件(温度、压力、流量等)、设备、参数(衬底、时间等)及其它变量彼此显著不同。
通过这些不同种类的淀积工艺,公开了不同的薄膜制造方法和薄膜。
作为用LPCVD工艺制造的例子薄膜,美国专利5,874,368(1999年2月23日)公开了在LPCVD熔炉中,在20mtorr至2torr的压力范围内和500-800℃的温度范围内,由双叔丁基氨基硅烷(BTBAS)形成氮化硅。同样参见Laxman等人,A low-temperature solution for silicon nitride deposition,Solid State Technology,2000年4月,79,公开了550-600℃下的LPCVD。
美国专利6,046,494(2000年4月4日)公开了在相对低的温度下并没有用于更低的负偏压温度不稳定性的等离子体以及减小的掺杂剂离析,在半导体器件中形成绝缘层。描述了怎样在LPCVD熔炉中制造氮化硅里衬的方法。为了制造氮化物层,使用氯化硅烷。
在LPCVD批量熔炉中,在LPCVD过程中进行的另一淀积是美国专利6,268,299(2001年7月31日),公开了形成用于阻挡应用的富硅氮化硅薄膜。使用各种含硅前体例如双叔丁基氨基硅烷(BTBAS)、HCD、SiH4等以及NH3淀积氮化硅薄膜。通过改变含硅前体和NH3的流量比率来调整硅与氮的比率。
美国专利6,268,299(2001年7月31日)公开了用于在LPCVD工艺中使用SiH4、二氯硅烷(DCS)、BTBAS、HCD以及这些气体的混合物淀积阻挡氮化物的低温工艺。改变NH3与前体的流量比率以产生不同的Si∶N比率。
日本专利2003051452(2003年2月21日)公开了通过LPCVD熔炉淀积的BTBAS氮化物。据说通过优化清洗工艺改善了膜厚精确度。
使用LPCVD熔炉制造的另一种薄膜是JP2001230248A(2002年11月26日),公开了使用LPCVD熔炉淀积的BTBAS氮化物。
当然,LPCVD是CVD工艺的一种。其它制造工艺包括PECVD和HDPCVD工艺。
作为PECVD和HDPCVD工艺过程中制造的薄膜的例子,参见例如由本发明的一些相同发明人的美国专利申请2002/0090835 A1(2002年7月11日),公开了通过BTBAS和具有等离子体能量的相关化合物形成氮化物薄膜,以产生特别适于PECVD和HDPCVD工艺的碳引入。
其它淀积工艺包括CLD、ALD和MLD。作为由CLD、ALD和MLD工艺制造的薄膜的例子,参见例如美国专利申请2003/0059535 A1(2003年3月27日)。公开了使用各种前体通过CLD、ALD和MLD淀积氮化硅和其它材料。在冷壁反应器中进行该反应。
淀积的另一种类是RTCVD。在RTCVD工艺中产生一些薄膜。例如,美国专利6,153,261(2000年11月28日)公开了在RTCVD工艺中使用BTBAS淀积氮化硅和氧化硅。参见美国专利申请2001/0000476 A1(2001年4月26日)。
美国专利6,455,389(2002年9月24日)公开了其中形成间隔层的RTCVD工艺,间隔层是氮化硅。硅烷或二氯化硅烷(dichloride silane)与氨反应,以形成氮化硅。Huang等人,描述了RTCVD工艺通常具有约650至700℃的室温和约200至600torr的室压,RTCVD淀积工艺的处理时间为约2至4分钟。
氮化物薄膜用于许多不同的应用。但是,满足特定应用的问题是多变的并可能比较复杂。例如,回到半导体器件,对于特定器件的有利功能,许多不同的性能是重要的。对于制造特定的器件有许多竞争考虑。已经提出多种不同的制造工艺,以下仅仅是一些例子。
例如,一方面,高碳引入是希望的。美国专利申请2001/0034129 A1(2001年10月25日)公开了具有高碳浓度的层的蚀刻工艺。淀积使用TEOS,BTBAS,CCl4,CO2等。可以通过使用BTBAS和NH3的CVD形成隔离层。
美国专利申请2002/0111039(2002年8月15日)公开了通过BTBAS和含氮气体形成的具有低介电常数的一些氧氮化硅隔离层,用化学计量法和控制的其它性能来产生变化的湿蚀刻速率。教导了碳引入以增加干蚀刻速率阻力。
美国专利申请2002/0127763(2002年9月12日)教导了通过使用BTBAS和O2和NH3的就地氧化物-氮化物-氧化物淀积通过LPCVD形成L形隔离层。提供了一种低成本的可选L形隔离层,据称该L形隔离层很好地用于后续介质薄膜的间隙填充。
在制造过程中希望防止栅极叠层被例如反应离子蚀刻(RIE)、湿蚀刻等腐蚀,如美国专利申请2003/0068855 A1(2003年4月10日),公开了在栅极叠层上淀积的氮化物的成核(籽晶)层的淀积,之后通过BTBAS在籽晶层上淀积氮化物层。BTBAS氮化物的碳用于防止栅极叠层被腐蚀。
美国专利6,586,814公开了用于形成STI的BTBAS氮化物的使用,使用BTBAS氮化物的抗蚀性,有助于STI填料的侵蚀。
美国专利申请2003/0127697 A1(2003年7月10日)公开了,为了在PFET的沟道中产生压缩应力,多个晶体管的有源区分为各个栅电极,并在相邻的栅电极之间形成薄STI。
就半导体晶体管而言,已经进行一些讨论的另一性能是应力。例如,美国专利申请2002/0063292 A1公开了在沟道中产生局部应力的某些晶片取向,以及通常关注高拉伸应力氮化物介质,但是没有明确地公开高拉伸应力意味着测定值是什么或高拉伸应力氮化物的例子的特定氮化物是什么。
美国专利申请2002/0179908 A1(2002年12月5日)教导了各种引入杂质的方法,以及通过引入杂质和退火控制薄膜晶体管(TFT)中的布线的内应力。
USP6,573,172(2003年6月3日)公开了在PMOS和NMOS器件上具有不同应力级别的PECVD氮化物薄膜的形成。
当然,半导体器件的任何一种性能(例如应力相关性能)的最佳化还必须与满足许多其它必要的性能和性能考虑相平衡。
此外,作为背景,在电路制造中,使用如图1所示的组件,其中,在晶片制造过程中,氮化物里衬1(例如氮化物薄膜)覆盖具有器件有源层21的器件2。通常可用于晶片制造的不同类型的氮化物薄膜提供不同类型的应力。Novellus等离子体增强化学气相淀积(PECVD)、应用PECVD以及应用材料快速热化学气相淀积(RTCVD)工具可以提供拉伸应力氮化物薄膜,以及应力通常达到+10G dynes/cm2,根据常规产品的一些例子是:Novellus,+2.5G dynes/cm2;PECVD,+4.5G dynes/cm2;RTCVD SiH4,+9.8G dynes/cm2
对于氮化物里衬的另一例子,参见美国专利申请2003/0040158 A1,其中公开了具有不同应力的两个分离里衬以增加迁移率。一个里衬通过LPCVD制造,以及另一个里衬通过PECVD制造。
然而,用于制造氮化物里衬和其它薄膜的常规薄膜和方法没有必要提供场效应晶体管(FET)和其它应用希望的所有性能。例如,通常,用作氮化物里衬的氮化物薄膜不可能提供希望大小的应力同时平衡其它需要性能。用于制造薄膜和半器件(例如FETs)以具有希望性能的可行制造方法,也不是足够地简单。
发明内容
本发明人特别考虑了增强场效应晶体管(FET)中的沟道迁移率和驱动电流的局部机械应力(压缩应力或拉伸应力)。nFET中的拉伸应力和pFET中的压缩应力可以分别增强载流子迁移率。因此,本发明的一个目的是对于FET应用提供希望的应力,例如对于蚀刻停止里衬具有足够高的应力(例如超过+10G dynes/cm2的应力,在本例子中,约+14.5G dynes/cm2的应力)。
而且,本发明的一个目的是提供一种在重复退火之后继续显示出希望的高应力的材料。
本发明人确定可以通过下列因素中的两种或多种来控制由薄膜(例如,RTCVD氮化物薄膜)提供的应力:用于制造薄膜的起始材料前体(例如,以任意组合包含Si、C和N的化合物,优选,BTBAS);用来处理起始材料前体的处理材料(例如,含氮前体,优选,适合于形成氮化物薄膜的材料,最优选NH3);起始材料前体与处理材料的比率;薄膜生长的CVD条件(例如,RTCVD条件);和/或薄膜生长的厚度。
本发明的另一个目的是提供一种制造高应力氮化物薄膜的方法,包括:在快速热化学气相淀积(RTCVD)条件、等离子体增强化学气相淀积(PECVD)条件或低压化学气相淀积(LPCVD)条件下,使以任意组合包括Si、N和C的化合物(这种化合物优选是无氯化合物)与NH3反应,其中由该反应步骤形成的高应力薄膜具有超过+10G dynes/cm2的应力。用于上述方法的一些可选细节如下。在本发明方法的优选实施例中,在3至15原子%的碳浓度下进行反应。包括Si、N和C的化合物优选是(R-NH)4-nSiXn(I),其中R是烷基(可以相同或不同),n是1、2或3,以及X是H或卤素。包括Si、N和C的最优选的化合物是双叔丁基氨基硅烷(BTBAS)。
在另一优选实施例中,本发明提供一种制造氮化物薄膜的方法,包括:在RTCVD条件、PECVD条件或LPCVD条件下,在约500至700℃的温度范围、约50至500T的压力范围内,使(A)以任意组合包括Si、N和C的化合物与(B)含氮前体(例如NH3)反应。
本发明的工艺和方法的其它优选实施例如下。在反应步骤过程中可以进一步包括添加至少一种化合物,如硅烷、乙硅烷、六氯乙硅烷及基于硅烷的其它化合物。由反应步骤形成的薄膜具有超过+10G dynes/cm2量的应力供应值。该反应步骤可以在约500至700℃的温度范围内进行。该反应步骤可以在约50至500T的压力范围下进行。
本发明的另一优选实施例提供一种氮化硅薄膜,包括具有超过+10Gdynes/cm2量的高应力供应的薄膜,例如,包括双叔丁基氨基硅烷(BTBAS)和NH3的反应产物(例如,具有SixNyCzHw化学结构的反应产物,其中x,y,z和w每个是大于零的整数或非整数)的薄膜;具有约+14至+18G dynes/cm2范围内的应力供应的薄膜;等。
本发明的再一优选实施例提供一种制造半导体晶片的方法,包括:用具有超过+10G dynes/cm2(优选,至少+14.5G dynes/cm2的拉伸应力)的氮化硅里衬覆盖至少部分器件有源层,例如氮化硅里衬优选包括氮化物薄膜,氮化物薄膜是BTBAS和NH3的反应产物。在半导体制造的本发明方法的一个优选实施例中,用氮化硅里衬覆盖整个器件有源层。覆盖步骤可以例如在RTCVD工艺或其它CVD工艺期间进行。
本发明的又一优选实施例提供了一种通过RTCVD、PECVD或LPCVD工艺形成的氮化物里衬。本发明的里衬的优选例子是包括氮化物薄膜的里衬,该氮化物薄膜是例如BTBAS和NH3的反应产物。本发明的里衬的另一优选例子是其中氮化物薄膜具有超过+10G dynes/cm2的拉伸应力的里衬,例如其中氮化物薄膜具有至少+14.5G dynes/cm2的拉伸应力的氮化物里衬。本发明的里衬的另一优选例子是氮化物里衬是在重复退火之后保持较高应力级别的氨处理的BTBAS反应产物。
应该理解在另一个优选实施例中本发明提供一种调整氮化物薄膜的应力级别的方法,包括调整选自如下因素的至少两个:(1)用于制造氮化物薄膜的起始材料前体(如以任意组合包含Si、C和N的化合物,优选如BTBAS的起始材料前体)的选择;(2)用来处理起始材料前体的含氮前体(如优选NH3)的选择;(3)起始材料前体与含氮前体的比率;(4)薄膜生长的CVD条件(如RTCVD条件等)的设定;以及(5)薄膜生长的厚度(如,约50至1,000埃的厚度范围等)。在一个优选例子中,CVD条件是在约500-700℃的温度范围、约50至500T的压力范围、约30至600秒的时间范围。在优选实施例中,本发明的应力级别调整方法提供将被调整为+10G至+18G dynes/cm2范围的氮化物薄膜的应力级别。在另一个优选例子中,氮化物薄膜是氨处理的BTBAS薄膜。
附图说明
参考附图,从本发明的优选实施例的下列详细描述将更好的理解上述和其它目的、方面及优点,其中:
图1示出了包括覆盖器件有源层的氮化物里衬的常规组件;
图2示出了氮化物淀积之后薄膜的压缩应力和拉伸应力的图表,包括常规薄膜和根据本发明的薄膜(BTBAS);
图3示出了nFETs的Ioff与Ion的曲线图,包括根据PECVD方法的常规nFET和本发明的nFET(BTBAS);
图4示出了pFETs的Ioff与Ion的曲线图,包括根据PECVD方法的常规pFET和本发明的pFET(BTBAS);
图5示出了Iodlin数据,示出了PECVD(常规)和BTBAS(本发明)数据之间的关系;
图6示出了加热器温度675℃、压力275torr、BTBAS流量1slm的曲线图;菱形点示出了应力和方块点示出了速率;X轴是氨流量(sccm);左Y轴示出了应力;右Y轴示出了淀积速率;
图7示出了双叔丁基氨基硅烷(BTBAS)的化学结构。
具体实施方式
在具体优选实施例中本发明提供了通过操作下列两个或多个因素来调整薄膜(如氮化物薄膜)的应力级别的方法:
用于制造薄膜的起始材料前体(例如,以任意组合包含Si、C和N的化合物,其中优选的例子是BTBAS);
用来处理起始材料前体的含氮前体(含氮前体的优选例子是适于形成氮化物薄膜的材料,最优选是NH3);
起始材料前体与含氮前体的比率;
薄膜生长的CVD条件的设定(例如,RTCVD条件,优选,在约500-700℃的温度范围、在约50-500T的压力范围、在约30-600秒的时间范围内的RTCVD条件);和/或
薄膜生长的厚度(如,约50至1,000埃的厚度范围)。在根据调整薄膜的应力级别的本发明方法的优选例子中,选择用于制造薄膜的起始材料前体和含氮前体,以形成氮化物薄膜,特别优选的化合物是BTBAS前体与NH3气体反应以形成氮化物薄膜。通过操作一个或多个其它操作因素,将由氨处理的BTBAS形成的氮化物薄膜处理为具有高应力级别(例如,超过+10G dynes/cm2的应力级别),其中高应力级别具有根据需要在约+10G dynes/cm2至+18G dynes/cm2范围内选择的应力级别的特定值,其它操作因素即起始材料前体与含氮前体的比率;薄膜生长的CVD条件的设置;和/或薄膜生长的厚度。
参考图1进一步论述氮化物薄膜的例子,图1示出了示例性晶片制造组件4,其中通过覆盖器件2的有源层21的氮化物里衬1(可以是常规氮化物里衬或根据本发明的氮化物里衬)可以产生强机械应力。与通过可用于晶片制造的氮化物薄膜的常规例子(如用作组件4中的里衬1)相比本发明提供优越的性能(如更大和/或不同类型的应力)。应当理解图1是为了说明,本发明不应该被认为局限于根据图1的配置。在晶片制造组件(如图1的组件4)中,本发明有利地提供比常规工具(其中一些例子是例如NovellusPECVD、应用PECVD和应用材料RTCVD工具,这些工具提供用于常规薄膜的具有通常高达约+10G dynes/cm2的应力的拉伸应力氮化物薄膜,如图2所示)提供的应力更大和/或不同的应力。
与由常规氮化物薄膜提供的应力相比本发明有利地提供具有较高拉伸应力(例如,超过+10G dynes/cm2的拉伸应力,如在优选例子中约+14.5Gdynes/cm2)的薄膜。还有利地,与许多常规薄膜不同,通过根据本发明的薄膜提供的这些应力在后续退火之后不会显著地改变。
为了制造根据本发明的示例性薄膜,以任意组合包含Si、N和C的化合物可以用作起始材料,其中优选例子是双叔丁基氨基硅烷(BTBAS)前体。BTBAS是市场上可买到的试剂,并有利地是非氯前体。
在本发明中,包括Si、N和C(如,BTBAS)的化合物与适于形成薄膜的试剂如NH3反应,优选在用于氮化物薄膜形成的条件下与NH3反应,最优选在用于形成希望的应力测量(如,应力超过+10G dynes/cm2,优选,应力在约+14至+18G dynes/cm2的范围内)和/或其它性能(如通过重复退火的应力性能的保持能力)的氮化物薄膜条件下与NH3反应。
例如,可以在RTCVD条件(最优选,在RTCVD工具中,例如市场上可买到的Applied Material Centura RTCVD工具)下;PECVD条件下;LPCVD条件下等,进行根据本发明的反应,其中包括Si、N和C(如,BTBAS前体)的化合物用作起始材料。
本发明提供了高应力级别薄膜,如在特定优选的例子中,提供RTCVD氨处理的BTBAS氮化物薄膜。通过薄膜厚度可以控制薄膜的应力级别,如通过加厚薄膜(通过增加包含起始材料(如BTBAS)和处理材料(如NH3)的C、Si和N的量)或通过增加淀积时间来增加应力级别。
可以使用本发明的薄膜(如氮化物薄膜),例如,作为蚀刻停止(阻挡)氮化物里衬,如图1中的里衬1.应当理解图1是示例性的,以及根据本发明的里衬可以使用其它结构。此外,本发明的薄膜(如氮化物薄膜)可以用于浅沟槽隔离(STI)里衬,栅极隔离层等。
应当理解与常规PECVD薄膜相比本发明提供的薄膜在某些方面更优越(例如提供高拉伸应力和/或应力数据的低变化性)。尽管通常许多类型的PECVD薄膜已用于制造一些拉伸应力,不幸的是,大多数PECVD薄膜不能制造达到希望高的拉伸应力。在特定优选的实施例中本发明提供一种RTCVD BTBAS氮化物薄膜,该氮化物薄膜可以令人满意地提供更高的拉伸应力,例如,超过+10G dynes/cm2的应力,优选,超过+10G dynes/cm2的应力,例如约+14至+18G dynes/cm2范围内的应力。
此外,还有利地,与PECVD薄膜相比本发明提供应力数据较小变化的BTBAS氮化物薄膜。另一方面,由根据本发明的BTBAS氮化物薄膜获得应力极大地可重复,且不容易受工艺参数影响。能够抵抗工艺参数影响的BTBAS氮化物薄膜是本发明的优点。
在本发明中,薄膜可以被淀积至希望的厚度,薄膜厚度的优选范围为约50至1,000埃。本发明进一步提供给定希望的应力级别的薄膜厚度的变化性。例如,可以改变氮化物薄膜的厚度,以根据需要提供不同的应力级别。在设置氮化物厚度过程中,应用(例如用作蚀刻停止里衬)被考虑。
例如,为了成功地使用本发明的薄膜(例如BTBAS薄膜)作为蚀刻停止里衬,应该根据厚度和应力仔细地选择隔离层氮化物,考虑与常规薄膜相比由根据本发明的薄膜给出的应力改进是由于栅极拐角处的拉伸应力的延伸。即,因为改进是由于栅极的拐角处拉伸应力的延伸,因此如果隔离层太厚,或具有过高的应力,那么本发明的薄膜(例如BTBAS薄膜)的效果将被最小化。由此,当本发明的薄膜(例如BTBAS薄膜)用作蚀刻停止里衬时,应该选择隔离层氮化物,以避免对于具体的应用太厚或提供过高的应力。
本发明的薄膜(例如BTBAS薄膜)具有用于拉伸应力产生、提供隔离层的有利应用以及已知用于隔离层形成的RTCVD SiH4薄膜或PECVD薄膜的其它应用。有利地,与常规氮化物薄膜相比本发明提供产生良好一致性和负载效应的隔离层(例如BTBAS隔离层)。
下面给出某些本发明的例子,本发明并不限于这些例子。
本发明的例1
通过在单晶片反应器中使BTBAS和NH3反应淀积薄膜(即氮化物薄膜)。选择给定3至15原子%的碳浓度的工艺条件。
与常规薄膜相比获得的器件数据示出改进的驱动电流。图3和4示出了nFET和pFET的电性能。与具有PECVD拉伸Nit薄膜(以及PECVD压缩Nit薄膜)的器件相比较BTBAS氮化物薄膜可以提供更高的nFET驱动电流。对于根据本发明的例子的薄膜也不降低pFET驱动电流。nFET驱动电流改进取决于局部应变,因此取决于器件几何形状和氮化物厚度。一般,对于长宽度nFET器件,具有
Figure C20051005545700151
厚度的BTBAS可以提供8%nFET电流改进,具有
Figure C20051005545700152
厚度的BTBAS额外产生3%的电流改进。pFET驱动电流也不会降低。
图5的Iodlin数据表明nFET驱动电流的改进主要由于沟道中的良好载流子迁移率和源区和漏区中以及隔离层下的外电阻。
本发明的例2
在RTCVD工艺中,BTBAS和NH3在下列条件下反应:
碳浓度                                ~6至10%
温度                                  650℃
压力                                  140torr
是否具有硅烷、乙硅烷、六氯乙硅烷?    没有
形成500或
Figure C20051005545700153
厚度的薄膜。可以形成其它厚度的薄膜。
尽管已经通过其优选实施例描述了本发明,但是本领域的技术人员将认识到在所附权利要求书的精神和范围内,可以对本发明进行修改。

Claims (22)

1.一种制造高应力氮化物薄膜的方法,包括:
在快速热化学气相淀积条件、等离子体增强化学气相淀积条件或低压化学气相淀积条件下,在500至700℃温度范围下,在50至500T压力范围下,使以任意组合包括Si、N和C的化合物与含氮前体反应,
其中由所述反应步骤形成的高应力薄膜具有超过+10G dynes/cm2的应力供应值。
2.根据权利要求1的方法,其中所述反应步骤在快速热化学气相淀积条件下进行。
3.根据权利要求1的方法,还包括在包含Si、N和C的化合物与含氮前体反应的所述步骤过程中,添加选自如下的至少一种化学化合物:硅烷、乙硅烷、六氯乙硅烷。
4.根据权利要求1的方法,其中所述化合物不含氯。
5.根据权利要求1的方法,其中所述包括Si、N和C的化合物是(R-NH)4-nSiXn
其中R是烷基,n是1、2或3,以及X是H或卤素。
6.根据权利要求1的方法,其中所述化合物是双叔丁基氨基硅烷。
7.根据权利要求1的方法,其中所述反应步骤是双叔丁基氨基硅烷和含氮前体反应的步骤。
8.根据权利要求1的方法,其中在3至15原子%的碳浓度下进行所述反应。
9.根据权利要求1的方法,其中所述含氮前体是NH3
10.根据权利要求1的方法,其中所述薄膜包括双叔丁基氨基硅烷和NH3的反应产物。
11.根据权利要求10的方法,其中所述反应产物具有SixNyCzHw的化学结构,其中x,y,z和w是大于零的整数或非整数。
12.根据权利要求10的方法,其中所述薄膜的应力在+14至+18Gdynes/cm2的范围内。
13.根据权利要求1的方法,其中通过快速热化学气相淀积、等离子体增强化学气相淀积或低压化学气相淀积工艺形成氮化物里衬,所述里衬包括:
氮化物薄膜,该氮化物薄膜是双叔丁基氨基硅烷和NH3的反应产物。
14.根据权利要求13的方法,其中所述氮化物薄膜具有至少+14.5Gdynes/cm2的拉伸应力。
15.根据权利要求13的方法,其中所述氨处理的双叔丁基氨基硅烷反应产物在重复退火之后保持+10G至+18G dynes/cm2范围的应力级别。
16.根据权利要求2的方法,其中所述快速热化学气相淀积条件是30至600秒的时间范围。
17.根据权利要求1的方法,其中所述薄膜厚度在50至1,000埃的范围内。
18.根据权利要求9的方法,其中在6至10%的碳浓度、650℃的温度、140torr的压力下双叔丁基氨基硅烷与NH3反应。
19.一种制造半导体晶片的方法,包括:
用根据权利要求1的方法形成的具有超过+10G dynes/cm2的拉伸应力的氮化物里衬覆盖至少部分器件有源层。
20.根据权利要求19的方法,其中所述氮化物里衬的拉伸应力至少是+14.5G dynes/cm2
21.根据权利要求19的方法,其中所述氮化物里衬包括氮化物薄膜,所述氮化物薄膜是双叔丁基氨基硅烷和NH3的反应产物。
22.根据权利要求19的方法,其中用所述氮化物里衬覆盖整个器件有源层。
CNB2005100554573A 2004-04-30 2005-03-17 氮化硅薄膜及其制造方法 Expired - Fee Related CN100459065C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/835,949 US7001844B2 (en) 2004-04-30 2004-04-30 Material for contact etch layer to enhance device performance
US10/835,949 2004-04-30

Publications (2)

Publication Number Publication Date
CN1694230A CN1694230A (zh) 2005-11-09
CN100459065C true CN100459065C (zh) 2009-02-04

Family

ID=35187673

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100554573A Expired - Fee Related CN100459065C (zh) 2004-04-30 2005-03-17 氮化硅薄膜及其制造方法

Country Status (4)

Country Link
US (2) US7001844B2 (zh)
JP (1) JP4906270B2 (zh)
CN (1) CN100459065C (zh)
TW (1) TWI378505B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US20060099763A1 (en) * 2004-10-28 2006-05-11 Yi-Cheng Liu Method of manufacturing semiconductor mos transistor device
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7265015B2 (en) * 2005-06-30 2007-09-04 Promos Technologies Inc. Use of chlorine to fabricate trench dielectric in integrated circuits
WO2007072537A1 (ja) * 2005-12-19 2007-06-28 Fujitsu Limited 半導体装置及びその半導体装置の製造方法
US20070196991A1 (en) * 2006-02-01 2007-08-23 Texas Instruments Incorporated Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor
US8017472B2 (en) * 2006-02-17 2011-09-13 Infineon Technologies Ag CMOS devices having stress-altering material lining the isolation trenches and methods of manufacturing thereof
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
JP2008053553A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
CN101192533B (zh) * 2006-11-28 2010-06-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、蚀刻阻挡层的形成方法
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
JP5309619B2 (ja) * 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR101789592B1 (ko) 2010-11-08 2017-10-25 삼성전자주식회사 반도체 소자의 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5975617B2 (ja) 2011-10-06 2016-08-23 キヤノン株式会社 固体撮像装置およびその製造方法ならびにカメラ
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8796098B1 (en) * 2013-02-26 2014-08-05 Cypress Semiconductor Corporation Embedded SONOS based memory cells
CN103247649A (zh) * 2013-05-07 2013-08-14 上海华力微电子有限公司 减小图像传感器电学互扰的方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10541309B2 (en) 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05129286A (ja) * 1991-11-07 1993-05-25 Casio Comput Co Ltd 窒化シリコン膜
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
US6656853B2 (en) * 2000-12-26 2003-12-02 Nec Electronics Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5519850A (en) * 1978-07-31 1980-02-12 Hitachi Ltd Semiconductor
US20020062065A1 (en) * 1993-02-22 2002-05-23 Daniel S. Christopher Endoscopic retraction system and method
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2002083812A (ja) * 1999-06-29 2002-03-22 Semiconductor Energy Lab Co Ltd 配線材料およびこれを用いた配線を備えた半導体装置およびその作製方法
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6515350B1 (en) 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US6660664B1 (en) * 2000-03-31 2003-12-09 International Business Machines Corp. Structure and method for formation of a blocked silicide resistor
AU2001278749A1 (en) * 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6586814B1 (en) * 2000-12-11 2003-07-01 Lsi Logic Corporation Etch resistant shallow trench isolation in a semiconductor wafer
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4831885B2 (ja) * 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP4897159B2 (ja) 2001-08-03 2012-03-14 株式会社日立国際電気 半導体装置の製造方法
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
JP3997089B2 (ja) * 2002-01-10 2007-10-24 株式会社ルネサステクノロジ 半導体装置
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6812073B2 (en) * 2002-12-10 2004-11-02 Texas Instrument Incorporated Source drain and extension dopant concentration
US7601860B2 (en) * 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05129286A (ja) * 1991-11-07 1993-05-25 Casio Comput Co Ltd 窒化シリコン膜
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
US6656853B2 (en) * 2000-12-26 2003-12-02 Nec Electronics Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer

Also Published As

Publication number Publication date
US20050245081A1 (en) 2005-11-03
CN1694230A (zh) 2005-11-09
JP2005317980A (ja) 2005-11-10
US7001844B2 (en) 2006-02-21
TW200536019A (en) 2005-11-01
TWI378505B (en) 2012-12-01
US20060040497A1 (en) 2006-02-23
JP4906270B2 (ja) 2012-03-28

Similar Documents

Publication Publication Date Title
CN100459065C (zh) 氮化硅薄膜及其制造方法
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
US7253123B2 (en) Method for producing gate stack sidewall spacers
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
US9984868B2 (en) PEALD of films comprising silicon nitride
CN1967780B (zh) 用于制作场效应晶体管的栅极电介质的方法
CN1757098B (zh) 利用具有氨的超低压快速热退火调节氧氮化硅的氮分布曲线
US20040152287A1 (en) Deposition of a silicon film
US20070251444A1 (en) PEALD Deposition of a Silicon-Based Material
US20060013946A1 (en) Methods of forming a thin film structure, and a gate structure and a capacitor including the thin film structure
US20030068869A1 (en) Dopant precursors and processes
US20100304047A1 (en) Low Temperature Deposition of Silicon-Containing Films
WO2006033699A2 (en) Low thermal budget silicon nitride formation for transistor fabrication
JP2011524087A (ja) ケイ素含有フィルムの低温堆積
US20070160774A1 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
US7166516B2 (en) Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN or SiCN
JP2009512188A (ja) ドープされた窒化シリコン膜の低温堆積のための方法及び装置
WO2013074169A1 (en) Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for cmos devices
CN101436533A (zh) 使用搀杂物和具有受控晶体结构的多层硅薄膜来调整多晶硅薄膜和周围层的应力
US7119016B2 (en) Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US10804094B2 (en) Methods of depositing SiCON with C, O and N compositional control
US20100270622A1 (en) Semiconductor Device Having a Strain Inducing Sidewall Spacer and a Method of Manufacture Therefor
EP1312697A1 (en) CVD of dielectric films
KR20120032792A (ko) 에피택시얼 블로킹막을 이용하는 반도체 장치의 제조 방법
JP2003264285A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090204

Termination date: 20190317

CF01 Termination of patent right due to non-payment of annual fee