TWI320953B - Locos isolation for fully-depleted soi devices - Google Patents

Locos isolation for fully-depleted soi devices Download PDF

Info

Publication number
TWI320953B
TWI320953B TW092134912A TW92134912A TWI320953B TW I320953 B TWI320953 B TW I320953B TW 092134912 A TW092134912 A TW 092134912A TW 92134912 A TW92134912 A TW 92134912A TW I320953 B TWI320953 B TW I320953B
Authority
TW
Taiwan
Prior art keywords
layer
oxide layer
thickness
opening
thin body
Prior art date
Application number
TW092134912A
Other languages
English (en)
Other versions
TW200419669A (en
Inventor
Mark Bohr
Julie Tsai
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW200419669A publication Critical patent/TW200419669A/zh
Application granted granted Critical
Publication of TWI320953B publication Critical patent/TWI320953B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Local Oxidation Of Silicon (AREA)

Description

1320953 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係有關半導體積體電路製造之領域,尤指係濃 密且平面的隔離區域,以及形成如此之隔離區域的方法。 【先前技術】
Gordon Moore最初在1964年提出了,科技創新的腳 步將會導致1C晶片上每單位面積之電晶體的數目每12個 月變成兩倍,在1 97 5年的時候,趨勢已經改變到每1 8個 月變成兩倍。在隨後的十年間,半導體工業在改善每一代 裝置之密度上和Moore的定律緊密地結合,維持這樣的時 程已經涉及了藉由使用較短的閘極長度、較薄的閘極電介 質 '超級險峻的退化井、三井、陡峭的源極/汲極(S/D ) 接面及高度掺雜的通道來減少互補金屬氧化物半導體( CMOS)中金屬氧化物半導體場效電晶體(MOSFETs)的 數目。 但是,使通道掺雜到高於l〇I8/cm3的濃度傾向使載子 邊移率和接面特性變差。當閘極電介質厚度掉到約1.5毫 微米(nm)以下時,電子之隧穿過閘極電介質也會變成 問題。於是,以90 nm技術節點開始,並繼之以90 nm技 @節點,在大塊的矽基板中所製造之平面裝置尺寸的縮放 S經變成逐漸被短通道效應(SCE )所拖累,例如,漏洩 及臨界電壓(Vt)穩定性。 (2) 1320953 【發明內容】 因此,甚至現在在裝置結構及製程上需要更 變,以便強調SCE。一個重大的改變爲基板提升 絕緣體上有矽(SOI)技術,其中,裝置被建立 入之氧化物層上方之薄的矽體中,SOI能夠降低 與減少基板漏洩,藉以致能更快的切換速度及i 的操作。建立有SOI之裝置能夠維持比在大塊矽 之裝置還高的驅動電流un,而同時使關閉狀態 Ioff達最小。降低臨界電壓讓SOI厚度能夠減小 小SOI厚度能夠讓在SCE上獲得更加好的控制 壓(vt)在約0.6微米(em)以下之不同的通 也變得更加固定。 當在閘極電極下方之通道中的空乏區域一直 通過矽體的厚度時,SOI裝置被認爲是局部空乏 地,在標準的大塊矽裝置上方之局部空乏之SOI 能增益當其尺寸繼續被縮減時變小,局部空乏之 也遭遇到浮體效應(FBE ),其使電路設計更加0 當矽體的厚度變成小於約90 nm時,在SOI 之裝置從局部空乏變成完全空乏,當空乏區域延 過矽體的厚度時,SOI裝置被認爲是完全空乏的 乏的SOI裝置允許更小的閘極尺寸及更理想的電 ,以及非常尖銳的打開特性 雖然高台隔離程序可以被使用於完全空乏的 ,但是這種程序並不是非常平面的。 劇烈的改 ’例如, 在位於埋 寄生電容 Ϊ低-電壓 中所建立 漏拽電流 ,並且減 。臨界電 道長度上 都不延伸 的。不幸 裝置的性 SOI裝置 !難。 中所建立 伸直接通 。完全空 晶體功能 SOI裝置 -5- (3) (3)1320953 因此,所需要的是一濃密且平面的隔離區域,以及形 成這種隔離區域的方法。 【實施方式】 在下面的敘述中’許多詳細內容(例如特定材料、尺 寸、及程序)被提出’以便對本發明有透澈的了解。但是 ’習於此技藝者將會了解到,本發明可以被實行而不需要 這些特別的詳細內容。在其他的實例中,並沒有特別地詳 細敘述眾所周知之半導體設備及製程,以避免反而使本發 明不淸楚。 本發明陳述以區域性矽氧化(LOCOS )程序而在絕緣 體上有矽(SOI )晶圓上形成濃密且平面的隔離區域之方 法的各種實施例,以及包含由如此之濃密且平面隔離區域 所分隔之主動區域結構之方法的各種實施例。 如圖1之本發明的實施例所示,一絕緣體上有矽( SOI )晶圓90包含一薄矽體310,其係藉由一埋入之氧化 物(BOX)層220而和底層矽基板110隔開,SOI晶圓90 上之BOX層220可以是藉由氧植入程序或層轉移程序來 予以形成的,氧植入之前頭方法爲藉由自Ibis Technology (Danvers > Massachusetts)之氧的植入的分隔(SIMOX )"SIMOX包含以高能量(約200 KeV)將高劑量(2x 1018 ions/cm2)的氧植入SOI晶圓90中(被保持在升局 溫度(約攝氏500度)中),繼之以長的。 層轉移包含熱生長一 B0X層220於—晶種晶圓上’ (4) (4)1320953 並且使BOX層220黏結於處理(handle)晶圓110,使得 BOX層220被夾在這兩個晶圓之間。然後,各種程序可 供使用來分解大部分即將被重複使用的晶種晶圓,而留下 位在被黏結於處理晶圓110之BOX層22 0上的薄矽體 310。SOITEC ( Bernin, France)在將 BOX 層 220 化學 地黏結於處理晶圓1 10之前,將氫(5 X 1 016 ions/cm2 )經 過BOX層220而植入於晶種晶圓上;使氫熱活化(約攝 氏5 00度)而形成氣泡,並且分解大部分的晶種晶圓,而 留下在BOX層220上的薄矽體310;使SOI晶圓90退火 (約攝氏1,100度):以及實施化學機械硏磨(CMP) 以使薄矽體3 1 0的表面平滑。
Silicon Genesis 或 SiGen ( Campbell, California )在室溫下以低功率電漿程序而使晶種晶圓上的BOX層 220黏結於處理晶圓110,使用氣體壓力來去除大部分的 晶種晶圓,並且使用化學氣相蝕刻法來加工薄矽體3 1 0的 表面。
Canon (Hiratsuja, Japan )沉積一多孔砂層於一 晶種晶圓上,沉積一磊晶矽層於多孔矽層上,並且熱生長 —BOX層220於磊晶矽層上,晶種晶圓上的BOX層22 然後被黏結於處理晶圓110。噴水技術被用來去除多孔矽 層處的晶種晶圓,多孔層被向下蝕刻至磊晶矽層,而且氫 退火程序被用來加工薄砂體310的表面。 SOI程序的完成導致具有位於BOX層220上之薄矽 體層310的SOI晶圓90,而BOX層220依序位於矽基板 -7- (5) (5)1320953 110上。薄矽體層310具有約3-40毫微米(nm)的厚度 ,BOX層22 0具有約30_25 0 nm的厚度。對於具有300 mm之直徑的SOI晶圓90來_,矽基板11〇具有約778 微米(um)的厚度。 在本發明的一實施例中,如圖1所示,薄砂體層310 被淸潔,並且一墊氧化物層420被熱形成於約攝氏8 5 0-1 ,150度之範圍中的一或多個溫度時,氧化劑可能包含 02 (乾),H20 (溼),或H2/02 (高熱)。在本發明 的一實施例中,墊氧化物層420可以被形成於高壓時,高 壓可以准許更低氧化溫度及更短氧化時間的使用。在本發 明的另一實施例中,墊氧化物層420可以用快速熱氧化法 (RTO )來予以形成,例如,同次高熱單晶圓程序,以減 少熱預算。 在本發明的一實施例中,墊氧化物層42 0具有一選自 約3_8 nm之範圍中的厚度。在本發明的另一實施例中, 墊氧化物層420具有一選自約8-30 nm之範圍中的厚度。 然後,氮化矽層5 3 0被形成於墊氧化物層420上。氮 化矽層5 3 0可以是藉由化學氣相沉積法(CVD )而被形成 於約攝氏670-830度,氮化矽層5 3 0可以是形成自大氣壓 力時之矽烷與氨的反應。替換地,氮化矽層530可以是形 成自減壓時之二氯矽烷與氨的反應。 在本發明的一實施例中,氮化矽層530具有一選自約 25 -65 nm之範圍中的厚度。在本發明的另一實施例中, 氮化矽層530具有一選自約65-150 nm之範圍中的厚度。 (6) (6)1320953 墊氧化物層420當做用來覆蓋氮化矽層530之應力緩 衝層用。否則,在後續的熱處理期間,氮化矽層530中的 高張力可能在底層薄矽體層310中產生嚴重的結晶差排。 微影及蝕刻程序可以被使用來使晶圓90上的隔離層 圖案化。首先,輻射-敏感材料(例如,光阻640 )可以 被塗施或塗敷於氮化矽層530上,如圖1之本發明的實施 例所示。其次,一隔離-層光罩被置於適當波長、能量、 及劑量之輻射的路徑中,以決定光阻640即將被曝光的部 分。曝光被實施於成像工具中,例如,晶圓步進器或晶圓 掃描器。曝光之後緊接著光阻64 0的顯影以產生遮罩。 遮罩具有對應於光阻640之曝光部分的形體特徵650 ,如圖1之本發明的實施例所示。光阻640中之形體特徵 650的形狀及臨界尺寸(CD)係推導自隔離-層光罩上的 設計。其次,已經被圖案化於光阻640中之形體特徵650 可以被轉移至底層中。 電漿程序(例如,反應離子蝕刻(RIE ))可以被用 來形成一開口 655於墊氧化物層420上之氮化矽層53 0的 複合堆疊540中,開口 655中的氮化矽層530被完全地去 除,而同時開口 655中的墊氧化物層420被局部(未顯示 出)或完全地(如圖2A所示)去除。 在本發明的一實施例中,可以使用高密度電漿,例如 ,射頻(RF )電感耦合式電漿(ICP ),乾式蝕刻以形成 開口 65 0可以用氣體混合物來予以實施,而氣體混合物包 含蝕刻氣體(例如,CF4 )及聚合氣體(例如,CH2F2 ), (7) (7)1320953 蝕刻氣體當做用來蝕刻之氟的主要源使用,而同時在蝕刻 期間,聚合氣體藉由使開口 6 5 0的側壁鈍化來改善選擇性 ’與光阻640有關之氮化矽層53〇及墊氧化物層420的蝕 刻選擇性可以爲約20 : 1或者更大。可以被用來蝕刻開口 650的其他氣體包含(:3[6及CHF3,氮化矽層530與墊氧 化物層420的蝕刻比可以係選自從每分鐘約30 — 200的範 圍中。 在光阻6 4 0被剝離之後,區域性矽氧化(l Ο C O S )程 序被實施,如同圖2B中本發明之實施例所顯示的。因爲 氧非常緩慢地擴散通過氮化矽,所以氮化矽層5 3 0當做氧 化遮罩使用,一場氧化物(FOX)層440係熱形成自薄矽 體層310之未被開口 655所覆蓋的部分。 在本發明的一實施例中,FOX層440係熱形成於在約 攝氏85 0- 1,150度之範圍中的一或多個溫度時。氧化劑 可以包含〇2(乾),H20(溼),或H2/02 (高熱)。 在本發明的一實施例中,FOX層440可以被形成於高壓時 ,高壓可以准許更低氧化溫度及更短氧化時間的使用。在 本發明的另一實施例中,FOX層440可以用快速熱氧化法 (RTO )來予以形成,例如,同次高熱單晶圓程序,以減 少熱預算。 FOX層440消耗位於BOX層220上的薄矽體層310 。因爲BOX層220爲氧化物且並非良好的熱導體,所以 薄矽體層310的氧化會受到底層BOX層220所影響’ FOX層425橫向延伸於氮化矽層530之邊緣的下方,以形 -10- (8) 1320953 成”鳥喙M25於較厚的FOX層440與較薄的墊氧化 4 20間之轉變區域中,如同圖2B中本發明之實施例 示的。鳥喙42 5有助於橫向侵蝕及縱向地形。 鳥喙42 5的長度及高度可以藉由使用最佳化之複 疊5 40來予以最小化,而複合堆疊540包含較厚的氮 層530與較薄的墊氧化物層420。在本發明的一實施 ,氮化矽層5 3 0厚度對墊氧化物層420厚度的比値爲 :1-8: 1。在本發明的另一實施例中,氮化矽層530 對墊氧化物層420厚度的比値爲約8: 1-15:1。在 明的又一實施例中,氮化矽層530厚度對墊氧化物層 厚度的比値爲約20 : 1。在本發明的仍一實施例中, 堆疊540係形成自阻擋氧之擴散且可以和LOCOS程 容的其他材料。 在FOX層440的形成之後,複合堆疊540被去 如同圖2 C中本發明之實施例所顯示的。首先,緩衝 氟酸可以被用來去除薄的氧氮化物層,而薄的氧氮化 可能已經被形成氮化矽層53 0上。其次,以熱磷酸在 氏180度,使用回流鍋爐來去除氮化矽層530,然後 氫氟酸去除墊氧化物層420,結果爲一使相鄰之主動 705A, 705B隔開之隔離區域460。在本發明的一實 中,複合堆疊5 40的部分或全部可以藉由乾式蝕刻程 予以去除。 使FOX層440變薄而同時去除複合堆疊540將 致經修改之鳥喙465,即鳥喙46 5的長度及厚度被進 物層 所顯 合堆 化矽 例中 約5 厚度 本發 420 複合 序相 除, 之氫 物層 約攝 ,以 區域 施例 序來 會導 —步 -11 - (9) (9)1320953 縮減。在本發明的一實施例中,經修改之鳥喙4_6 5的長度 約爲薄矽體層310之厚度的60-90 %。但是,在複合堆疊 5 40的去除之後,一些地形(例如,凹陷或接縫)可能會 出現’並且隔離區域460的上表面467可能高於主動區域 7 05A > 705B 的上表面 707。 圖2 C也顯示本發明的另一實施例,其係一在S ΟI晶 圓90之相鄰的主動區域7〇5A, 705B中包含完全空乏之 裝置的結構1700,而相鄰的主動區域705A, 705B被藉 由LOCOS程序所形成之隔離區域460所隔開。經修改之 鳥喙46 5 (其長度及厚度被縮減)係位於沿著隔離區域 460的邊緣。在本發明的一實施例中,經修改之鳥喙465 的長度約爲薄矽體層310之厚度的60-90 %。一些地形( 例如,凹陷或接縫)可能會出現,並且隔離區域460的上 表面467可能高於主動區域705A, 705B的上表面707 矽的熱氧化將會使體積增加,例如約44 %。當氧化 時在體積上的膨脹可能會視各種因素而定,例如,矽的結 晶方向、掺雜位準、植入破壞、及應力、以及氧化中所使 用之溫度和氧化劑的種類。因此,爲了補償體積膨脹及使 表面平面性上的變差達最小,在實施氧化之前,未被開口 65 0所覆蓋之薄矽體層3〗0可以藉由蝕刻來予以局部形成 凹槽》在本發明的一實施例中,開口 650中之薄矽體層 3 ] 0的厚度可以被縮減約3 0 %。 如同圖3A中本發明之實施例所顯示的,一低壓、高 -12- 1320953 do) 密度電漿蝕刻,例如以Cl2/Ar,可以被用來蝕刻溝槽深入 至薄砂體層3 1 0。 如同圖3 B中本發明之實施例所顯示的,如果薄矽體 層3 1 0在氧化之前先被適當地形成凹槽,則在氧化完成之 後,FOX層540的上表面547應該與薄矽體層310之上表 面42 7相對地齊平或在同一平面上。沿著FOX層540邊 緣之經修改之鳥喙5 65的長度及厚度也被顯著地縮減。任 何剩下的地形,例如,凹陷或接縫,應該是最小的。 在本發明的一實施例中,在去除複合堆疊540之後, 經修改之鳥喙565的長度約爲薄矽體層310之厚度的30-6 0 %。如同圖3 C中本發明之實施例所顯示的,隔離區域 560的上表面567應該與主動區域805A, 805B的上表 面8 07相對地齊平或在同一平面上。在本發明的一實施例 中,在隔離區域560的高度與主動區域805A, 805B的 高度間之差異應該低於約薄矽體層3 1 0之厚度的1 0 %。 圖3C也顯示本發明的另一實施例,其係一在SOI晶 圓90之相鄰的主動區域805A, 805B中包含完全空乏之 裝置的結構1800,而相鄰的主動區域805A, 805B被藉 由LOCOS程序所形成之隔離區域560所隔開。經修改之 鳥喙565 (其長度及厚度被顯著地縮減)係位於沿著隔離 區域560的邊緣。在本發明的一實施例中,經修改之鳥嗓 565的長度約爲薄矽體層310之厚度的30-60 %,隔離區 域560的上表面567應該與主動區域805 A., 805B的上 表面807相對地齊平或在同一平面上。因此,本發明的結 -13- (11) (11)1320953 構1 8 00具有濃密且平面的隔離區域5 60。 在本發明的一實施例中,一完全空乏之裝置可以被形 成於薄矽體層310之各個主動區域805A, 805B中,如 下_· 如果需要的話,一薄的犧牲氧化物層可以被生長於主 動區域805A, 805B中的薄矽體層310.上。在LOCOS期 間,犧牲氧化物被蝕刻掉,以便去除任何可能已經形成在 氮化矽邊緣附近之薄矽體層310上的氧氮化矽(Kooi效 應)。否則,氧氮化矽可能會干擾閘極電介質堆疊的後續 形成,導致薄且不均勻的點(未顯示出)。 超低功率離子植入法可以被用來調整臨界電壓Vt。 —閘極電介質堆疊可以被形成於薄矽體層340上,此 閘極電介質堆疊可以具有約0.6-1.5 nm的實際厚度。在本 發明的一實施例中,閘極電介質堆疊可以包含一非晶系 高-k (約大於1 5 )材料,例如氧化会_ ( H f Ο 2 ),其係以 金屬-有機化學氣相沉積法(MOCVD )或原子層沉積法( ALD)來予以形成的。 一閘極電極可以被形成於閘極電介質堆疊上,並且藉 由微影及蝕刻來予以圖案化,此閘極電極具有約40-65 nm的厚度,並且可以被掺雜多晶矽,或者被掺雜覆蓋有 金屬、或單金屬、或雙金屬(用於NMOS,例如,鉅及鈦 ,及用於PM0S ’例如’氮化鉅、氮化鎢、及氮化鈦)之 多晶矽。不像掺雜多晶矽之閘極電極,金屬閘極電極並未 遭受到空乏效應。在本發明的一實施例中,實際的閘極長 -14- (12) (12)1320953 度可以爲約25-50 nm。 超低功率離子植入法及針型退火法可以被用來形成到 閘極之各側上的源極和汲極之延伸物,如果需要的話,可 以實施傾斜的植入。在本發明的一實施例中,可以使用電 漿或氣相掺雜,源極和汲極延伸物可以具有約10-20 nm 的接面深度。 具有約2 5 - 8 0 nm之厚度的側壁間隙壁可以被形成於 閘極的兩側上,側壁間隙壁可以包含一或多層的電介質材 質層。 一突起的源極和汲極可以以選擇性裔晶沉積法而被形 成緊接著閘極之兩側上的側壁間隙壁上,此突起的源極和 汲極改善矽化物以及減小寄生電容。 超低功率離子植入法及針型退火法可以被用來掺雜源 極和汲極。在本發明的一實施例中,可以使用電漿或氣相 掺雜,突起的源極和汲極可以具有約20-40 nm的接面深 度。 具有約15-25 nm之厚度的鎳矽化物(NiSi)可以被 形成於突起的源極和汲極上,以及閘極電極上(如果閘極 電極被掺雜多晶矽),鎳矽化物減少接觸漏洩,並且比鈷 矽化物(CoS i2 )消耗更少的矽。 —層間電介質(ILD )層可以被形成於此裝置上, ILD可以係形成自低-k (介電常數k,例如,1.0-2.2 )材 料’其係藉由CVD而被抽絲織網或沉積於,例如,有機 矽化玻璃(OSG )或碳-掺雜氧化物(CD0 ) 。ILD可以是 -15- (13) (13)1320953 多孔的,並未可以包含一空氣間隙。一種具有化學-機械 硏磨(CMP )法之雙金屬鑲嵌方式可以被用來形成以銅金 屬或合金連接到裝置的多層互連,如果需要的話,對於通 孔及金屬線來說,可以包含擴散阻障層及分路層。 在上面已經提出許多實施例及各種詳細內容,以便提 供對本發明的徹底了解,習於此技藝者將可領會到某一實 施例的許多特徵將可均等地應用於其他實施例,習於此技 藝者亦將可領會到能夠做成對在此所敘述的那些特定材料 、程序、尺寸、濃度等等之各種等效替換,可以了解到本 發明的詳細說明應該被當做是舉例說明,而不是限制本發 明,其中,本發明的範疇應該由下面的申請專利範圍來予 以決定。 因此,吾人已經說明了係濃密且平面的隔離區域,以 及形成如此之隔離區域的方法。 【圖式簡單說明】 圖1係依據本發明,晶圓上之隔離區域圖案化方法實 施例的剖面圖。 圖2A到圖2C係舉例說明依據本發明之晶圓上之 LOCOS隔離區域蝕刻及氧化方法實施例的剖面圖。 圖2C也是舉例說明依據本發明之晶圓上之蝕刻及氧 化LOCOS隔離區域的結構實施例的剖面圖。 圖3 A到圖3 C係舉例說明依據本發明之晶圓上之 LOCOS隔離區域形成凹槽及氧化方法實施例的剖面圖。 -16- (14) 1320953 圖3 C也是舉例說明依據本: 化LOCOS隔離區域的結構實施例 元件對照表 9 0 :絕緣體上有矽(S ΟI )晶 1 10 :矽基板 220 :埋入之氧化物(BOX ) 310 :薄的矽體 4 2 0 :墊氧化物層 440 :場氧化物(FOX )層 460,560:隔離區域 4 6 5,5 6 5 :鳥喙 5 3 0 :氮化矽層 540 :複合堆疊 640 :光阻 65 0 :形體特徵 655 :開口 705 , 705B , 805A , 805B : ^ 707 , 427 , 467 , 547 , 567 , 1700, 1800:結構 發明之晶圓上之凹槽及氧 的剖面圖。 _ 圓 . 層 .動區域 - 8 07 :上表面 . -17-

Claims (1)

1320953 拾、申請專利範圍 附件2A: 第92 1 3 49 1 2號專利申請案 .. 中文申請專利範圍替換本_ 民國96;年&111月/|_3修正 ι·—種隔離氧化物層上之矽體層方法二Ϊ14;含: - 設置一基板; 形成一埋入之氧化物層於該基板上; H 形成一薄的矽體層於該埋入之氧化物層上,該薄的矽 體層具有3-40毫微米的厚度; 形成一墊氧化物層於該薄的矽體層上; 形成一氮化矽層於該墊氧化物層上,並且與該墊氧化 物層相接觸,其中,該氮化矽層厚度對該墊氧化物層厚度 的比値爲2 0 : 1 ; 形成一光阻於該氮化矽層上; 形成一開口於該光阻上; _ 蝕刻以去除該開口中的該氮化矽層,而同時在該蝕刻 期間使該開口的側壁鈍化; 在厚度方向上局部去除該開口中的該墊氧化物層,而 在該開口中留下該墊氧化物層的殘餘部分; 去除該氮化矽層上的該光阻; 使氧氣擴散經過該開口中之該墊氧化物層的該殘餘部 分; 自該開口中之該墊氧化物層之該殘餘部分下方的該薄 1320953 的矽體層形成一場氧化物層; 藉由乾式蝕刻程序而去除在該場氧化物層以外之該墊 氧化物層上的該氮化矽層;以及 藉由乾式蝕刻程序而去除在該場氧化物層以外之該薄 的矽體層上的該墊氧化物層。 2. 如申請專利範圍第1項之方法,其中,該埋入之 氧化物層包含30-2 50毫微米的厚度。 3. 如申請專利範圍第1項之方法,其中,該墊氧化 物層包含3-8毫微米的厚度。 4. 如申請專利範圍第1項之方法,其中,該氮化矽 層包含25-65毫微米的厚度。 5 ·如申請專利範圍第1項之方法,其中,該場氧化 物層係形成於高壓時。 6·如申請專利範圍第1項之方法,其中,該場氧化 物層係以快速熱氧化法來予以形成的。 7. —種隔離絕緣體上有矽之晶圓的方法,其包含: 設置一絕緣體上有矽之晶圓,該絕緣體上有矽之晶圓 包含一由薄的矽體層所覆蓋之埋入的氧化物,該薄的矽體 層具有3-40毫微米的厚度; 形成一複合堆疊於該薄的矽體層上,該複合堆疊包含 一直接覆蓋以氮化矽層之墊氧化物層,其中,該氮化矽層 厚度對該墊氧化物層厚度的比値爲20:1 ; 形成一光阻於該複合堆疊上; 形成一開口於該光阻上; -2- 1320953 去除該開口中的該複合堆疊,而同時使該開口的側胃 鈍化; 藉由使用低壓、高密度之電漿蝕刻法來縮減該開口中 之該薄的矽體層的厚度約30%; 去除該複合堆疊上的該光阻: 自該開口中的具有縮減厚度之該薄的矽體層形成一場 · 氧化物層;以及 藉由乾式蝕刻程序而去除在該場氧化物層以外之該薄 · 的矽體層上之該複合堆疊。 8. 如申請專利範圍第7項之方法,其中,該開口中 之該薄的矽體層的厚度係縮減約30 %。 9. 如申請專利範圍第7項之方法,其中,該場氧化 物層的上表面係與該薄矽體層之上表面相對地齊平或在同 一平面上。 10. 如申請專利範圍第7項之方法,其中,該埋入的 氧化物包含30-250毫微米的厚度。 φ 11. 如申請專利範圍第7項之方法,其中,該墊氧化 物層包含3-8毫微米的厚度。 12. 如申請專利範圍第7項之方法,其中,該氮化矽 _ 層包含25 -65毫微米的厚度。 13. 如申請專利範圍第7項之方法,其中,該場氧化 物層係形成於高壓時。 14. 如申請專利範圍第7項之方法,其中,該場氧化 物層係以快速熱氧化法來予以形成的。 1320953 15. —種包含由濃密且平面之隔離區域所隔開之主動 區域的絕緣體上有矽結構,其包含: 一基板; —埋入之氧化物層,配置於該基板上; 一薄的矽體層,配置於該埋入之氧化物層上,該薄的 矽體層包含由隔離區域所隔開的主動區域,該等隔離區域 缺少鳥的頭部並具有一經修改之鳥喙長度,其包含該薄的 矽體層之厚度的30-60 %,其中,該等隔離區域之上表面 係與該等主動區域之上表面齊平或在同一平面上;以及 一完全空乏裝置,配置於該等主動區域的每一個主動 區域上,該完全空乏裝置包含:具有〇·6-1.5 nm之實際厚 度的閘極電介質堆疊,具有40-65 nm之厚度的閘極電極 ,及25-50 nm之實際的閘極長度。 16. 如申請專利範圍第15項之結構,其中’介於該 等隔離區域的高度與該等主動區域的高度間之差異係低於 約該薄矽體層之該厚度的10%。 17. 如申請專利範圍第15項之結構’其中’該埋入 的氧化物包含30-250毫微米的厚度。 18. 如申請專利範圍第15項之結構,其中’該薄的 矽體層包含3-40毫微米的厚度。 19·如申請專利範圍第15項之結構,其中’該場氧 化物層係形成於高壓時。 2〇·如申請專利範圍第15項之結構’其中,該場氧 化物層係以快速熱氧化法來予以形成的。 -4-
TW092134912A 2002-12-26 2003-12-10 Locos isolation for fully-depleted soi devices TWI320953B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/330,842 US7510927B2 (en) 2002-12-26 2002-12-26 LOCOS isolation for fully-depleted SOI devices

Publications (2)

Publication Number Publication Date
TW200419669A TW200419669A (en) 2004-10-01
TWI320953B true TWI320953B (en) 2010-02-21

Family

ID=32654602

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092134912A TWI320953B (en) 2002-12-26 2003-12-10 Locos isolation for fully-depleted soi devices

Country Status (9)

Country Link
US (1) US7510927B2 (zh)
EP (1) EP1576663B1 (zh)
KR (1) KR100738135B1 (zh)
CN (1) CN100449727C (zh)
AT (1) ATE486365T1 (zh)
AU (1) AU2003297804A1 (zh)
DE (1) DE60334735D1 (zh)
TW (1) TWI320953B (zh)
WO (1) WO2004061946A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510927B2 (en) 2002-12-26 2009-03-31 Intel Corporation LOCOS isolation for fully-depleted SOI devices
KR20070050988A (ko) * 2004-09-02 2007-05-16 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 디바이스 및 그 제조 방법
JP4499623B2 (ja) * 2005-06-28 2010-07-07 Okiセミコンダクタ株式会社 半導体素子の製造方法
CN101350327B (zh) * 2007-07-17 2011-03-23 上海华虹Nec电子有限公司 局部硅氧化隔离结构的制备方法
FR2972564B1 (fr) * 2011-03-08 2016-11-04 S O I Tec Silicon On Insulator Tech Procédé de traitement d'une structure de type semi-conducteur sur isolant
CN102776566A (zh) * 2011-05-11 2012-11-14 深圳光启高等理工研究院 基于多晶硅的超材料制备方法和基于多晶硅的超材料
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
US8415768B1 (en) 2012-07-06 2013-04-09 LuxVue Technology Corporation Compliant monopolar micro device transfer head with silicon electrode
US8791530B2 (en) 2012-09-06 2014-07-29 LuxVue Technology Corporation Compliant micro device transfer head with integrated electrode leads
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US9236815B2 (en) 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
EP2757580A1 (en) * 2013-01-22 2014-07-23 Nxp B.V. Bipolar cmos dmos (bcd) processes
EP3008553B1 (en) 2013-06-12 2023-06-07 Rohinni, Inc. Keyboard backlighting with deposited light-generating sources
US9570437B2 (en) 2014-01-09 2017-02-14 Nxp B.V. Semiconductor die, integrated circuits and driver circuits, and methods of maufacturing the same
EP3408728A4 (en) 2016-01-15 2019-03-13 Rohinni, LLC DEVICE AND METHOD FOR REAR LIGHTING THROUGH A COVER ON THE DEVICE
FR3051973B1 (fr) 2016-05-24 2018-10-19 X-Fab France Procede de formation de transistors pdsoi et fdsoi sur un meme substrat
CN109920726A (zh) * 2019-03-13 2019-06-21 深圳大学 一种形成场隔离的方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01307241A (ja) * 1988-06-06 1989-12-12 Canon Inc 半導体装置の製造方法
US5863823A (en) 1993-07-12 1999-01-26 Peregrine Semiconductor Corporation Self-aligned edge control in silicon on insulator
US6627511B1 (en) 1995-07-28 2003-09-30 Motorola, Inc. Reduced stress isolation for SOI devices and a method for fabricating
US5780352A (en) * 1995-10-23 1998-07-14 Motorola, Inc. Method of forming an isolation oxide for silicon-on-insulator technology
JPH10125773A (ja) * 1996-10-21 1998-05-15 Nec Corp 半導体装置の製造方法
US6066576A (en) * 1997-06-04 2000-05-23 Micron Technology, Inc. Method for forming oxide using high pressure
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
JP3751469B2 (ja) * 1999-04-26 2006-03-01 沖電気工業株式会社 Soi構造の半導体装置の製造方法
US6881645B2 (en) 2000-08-17 2005-04-19 Samsung Electronics Co., Ltd. Method of preventing semiconductor layers from bending and semiconductor device formed thereby
US6486038B1 (en) * 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6465324B2 (en) * 2001-03-23 2002-10-15 Honeywell International Inc. Recessed silicon oxidation for devices such as a CMOS SOI ICs
US7510927B2 (en) 2002-12-26 2009-03-31 Intel Corporation LOCOS isolation for fully-depleted SOI devices

Also Published As

Publication number Publication date
DE60334735D1 (de) 2010-12-09
ATE486365T1 (de) 2010-11-15
US7510927B2 (en) 2009-03-31
EP1576663A1 (en) 2005-09-21
CN100449727C (zh) 2009-01-07
KR100738135B1 (ko) 2007-07-10
CN1732563A (zh) 2006-02-08
WO2004061946A1 (en) 2004-07-22
TW200419669A (en) 2004-10-01
AU2003297804A1 (en) 2004-07-29
EP1576663B1 (en) 2010-10-27
KR20050088339A (ko) 2005-09-05
US20040124490A1 (en) 2004-07-01

Similar Documents

Publication Publication Date Title
TWI320953B (en) Locos isolation for fully-depleted soi devices
US7407868B2 (en) Chemical thinning of silicon body of an SOI substrate
US6664598B1 (en) Polysilicon back-gated SOI MOSFET for dynamic threshold voltage control
US7273785B2 (en) Method to control device threshold of SOI MOSFET's
US6001706A (en) Method for making improved shallow trench isolation for semiconductor integrated circuits
US7772671B2 (en) Semiconductor device having an element isolating insulating film
JP5579280B2 (ja) Cmos垂直置換ゲート(vrg)トランジスタ
US6228691B1 (en) Silicon-on-insulator devices and method for producing the same
JP4317500B2 (ja) Cmosデバイスの製造方法
US7626234B2 (en) Semiconductor device with shallow trench isolation and its manufacture method
US8790991B2 (en) Method and structure for shallow trench isolation to mitigate active shorts
US6245619B1 (en) Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
US20050003589A1 (en) Structure and method to fabricate ultra-thin Si channel devices
US11164959B2 (en) VFET devices with ILD protection
JP2008536335A (ja) 適応ウェル・バイアシング、並びにパワー及び性能強化のためのハイブリッド結晶配向cmos構造体
JP2005311367A (ja) ハイブリッド結晶方位上の歪みシリコンcmos
US7202123B1 (en) Mesa isolation technology for extremely thin silicon-on-insulator semiconductor devices
JP2007311607A (ja) 半導体装置の製造方法
JP4328708B2 (ja) Cmosデバイスの製造方法及びcmosデバイスを備える構造
US20120171841A1 (en) Body contacted transistor with reduced parasitic capacitance
JP2001135821A (ja) 半導体装置の製造方法
JP3805917B2 (ja) 半導体装置の製造方法
JP2006344622A (ja) 半導体装置および半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees