TWI245789B - A silica-based slurry - Google Patents

A silica-based slurry Download PDF

Info

Publication number
TWI245789B
TWI245789B TW091112920A TW91112920A TWI245789B TW I245789 B TWI245789 B TW I245789B TW 091112920 A TW091112920 A TW 091112920A TW 91112920 A TW91112920 A TW 91112920A TW I245789 B TWI245789 B TW I245789B
Authority
TW
Taiwan
Prior art keywords
slurry
modified
silicon oxide
patent application
oxide
Prior art date
Application number
TW091112920A
Other languages
English (en)
Inventor
Stuart D Hellring
Colin P Mccann
Charles F Kahle
Yuzhuo Li
Jason Deleher
Original Assignee
Ppg Ind Ohio Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ppg Ind Ohio Inc filed Critical Ppg Ind Ohio Inc
Application granted granted Critical
Publication of TWI245789B publication Critical patent/TWI245789B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Silicon Compounds (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

1245789 五、發明説明( 發明之說明 本發明係有關於-種漿液組成物及其製備之方法,特 =本t明之漿液組成物包括—氧切’其中該氧化石夕 包含-表面改質。本發明之以氧化矽為基體之漿液適用於 拋光物件且對半導體與其他微電子基材之化學化 (“CMP,,)特別有用。 一化 通常,在製造-半導體晶圓時,多數積體電路係形成 在一半導體基材上,該等積體電路通常是由一連串之加工 v驟化成其中多數材料,如導電性材料、絕緣材料盘半 導電性材料之有圖案層係形成在該基材上。在半導體基材 吏用銅” 孟屬互連是眾所週知的,通常,銅作為一被 一絕緣層間介f材料(ILD),如二氧切所包圍之導電性互 連,且㈣為_在銅與該❹間之障壁以防止銅移入該 、CMP疋種用以由一半導體晶圓上移除這些金屬材 料之習知方法,控制金屬移除之速度,及在例如,銅、知 與ILD之間選擇對於達成平坦化之要求是必要的。 、使物件’如一半導體基材之粗趁表面之平坦化而成 為平,月表面大致包括使用一受到控制且重覆之動作以一 墊之工作表面磨擦該粗才造表面,因此,該方法通常包括在 存在机體之清形下互相靠抵地轉動該塾與半導體晶圓基 材。該流體可包含-顆粒材料如氧化铭、氧化鈽或氧切 或““物。该墊與顆粒材料將會使該半導體基材機械性 地平坦化,而該流體與顆粒材料將會使該基材化學性地平 坦化且有助於由該物件之粗糙表面移除被磨去之材料並將 本紙張尺歧财 (請先閱讀背面之注意事項再本頁) m n n m m . 夢! .I— K · -4- 經濟部· 口熒榉準養貝工消費合作社印裝 智慧財產局 1245789 A7 1 ~~ —~~ -——— _____B / 五、發明测(^) ~~^ - 2 之運送離開。該顆粒材料在該物件平坦化時扮演 機械性兩種角色。 ^ 為了使每一晶圓之積體電路密度達到最大,必須在整 個半導體晶圓製造過程中於各種不同階段時具有_極平坦 之基材,因此,半導體晶圓製造過程通常包括至少一,且 通常是多數CMP步驟。這種半導體製程之一例子是鑲嵌, 或相關之雙重鑲嵌,使用銅互連之積體電路的製程。另一 個例子疋淺槽隔離法,而再一例子是在一矽晶圓上產生鐵 通孔。 在CMP製程中使用氧化鋁與氧化矽是習知的,美國專 利第5,980,775號揭露一包括一氧化劑、至少一催化劑、至 少一安定劑及一金屬氧化物磨料,如氧化鋁或氧化矽之 CMP組成物,此外,這專利揭露一種用以使用該組成 物以拋光一基材之至少一金屬層的方法。美國專利第 6,136,711號揭露一包括一可蝕刻鎢之化合物、至少一鎢蝕 刻抑制劑,及一金屬氧化物磨料,如氧化鋁或氧化矽之cMp 組成物,此外,這專利揭露一種用以使用該CMp組成物以 抛光一含嫣基的方法。美國專利第5,9〇4,159號揭露一包含 一分散有氧化矽之溶液之拋光漿液,該溶液係藉由將煙燻 氧化矽顆粒分散在一水溶性溶劑中而得,其中平均主要顆 粒是由5到30nm,具有由3至6之光散射指數及一 1.5%重量 之氧化石夕濃度,及以重量為基準3〇至1〇〇11111之平均次要顆 粒尺寸。 此外’氧化矽之表面改質是眾所週知的,依據在 本纸張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐)
-5- 1245789 A7 B7 五、發明説明( 經濟部_&央榉率令貝工消費合作社印製 .智慧財產局
Synthesis 1990,1027中所述之Kovhs之方法,水合之氧化矽粉末可以藉由與二烧基胺石夕烧加熱而被表面改質。美國專利第 3,334,062 ; 4,143,027 ; 4,849,022 ; 5,008,305 與 5,902,635號亦揭露藉由混合乾燥或部份水合之氧化矽與 矽烷來使氧化矽表面改質之方法。美國專利第; 5,789,514 ; 5,9908,660與5,919,298號揭露藉液相縮合反應性石夕烧來產生表面改質氧化矽的方法。此外,表面改質膠 體氧化石夕之製備法係揭露於美國專利第2,786,〇42號中,而美國專利第3,720,532 ; 4,068,024與4,443,3 57號則揭露藉著 與醇縮合來表面改質無機氧化物的方法。另外,藉由與有 機矽烷氣相縮合來改質氧化矽粉末的方法係揭露在美國專 利第4,015,031 ; 4,554,147與5,902,636號中。藉由與有機矽 烷縮合而在一溶劑相中改質氧化矽的方法係揭露在美國專利第 3,634,288 ; 3,768,537與 5,647,962號中。 通常,使用氧化鋁磨料在以往已被視為是必要的,因 為氧化鋁顆粒具有比在二氧化矽上之氧化矽顆粒更低的化 子反應性,並且因此氧化鋁顆粒具有比氧化石夕顆粒更高的 金屬選擇性。在沒有高選擇性之情形下,某些量之二氧化 矽層會與金屬一起被不必要地拋光磨除。通常,氧化鋁顆 粒比氧化矽顆粒更難分散,因此,發展出一相對各種不同 金屬材料具有受控制之移除速度與高選擇性之含氧化矽漿 液是必要的。“選擇性,,在此表示在CMp時兩或多種材料 之移除速度的比率,例如,銅對鈕之選擇性表示銅之移除 速度對组之移除速度之比率。 請 先 閱 讀 背 面 之 注
I
填赢I 訂 -6- 1245789 A7 ____ B7 五、發明説明() 4 1 依據習知技術,·熟習此項技術者可依據以下普雷斯頓 (Preston)方程式預測CMP之金屬移除速度:
RR 二 KP + C 其中RR代表移除速度,K代表一被稱為普雷斯頓常數 的常數,P代表在定速時之壓力,且C代表在零壓力時之移 除速度。在此所使用之用語“普雷斯頓方式,,表示在由該普 雷斯頓方程式所述之移除速度與壓力間之關係,即,在定 速下,移除速度隨著壓力單一性地增加。 在習知參考文獻中已有對普雷斯頓方程式之修正,以 獲得更適切之資料(參照Luo, Q·; Ramarajan,S.與Babu S.V·,77uW 心/W 巧/歸 1998, 16〇及 Ramarajan,s 與
Babu5 S.V.5 Proc. MRS Spring Meeting, San Francisco, CA5 Apnl,1999),但是,這些修改之普雷斯頓方程式亦描述了 普雷斯頓方式之行為。 以普雷斯頓方程式描述之習知之漿液在(:]^11>製程時產 生不必要之變形與腐蝕,因此,發展出一可使變形與腐蝕 減至最少之漿液是必要的。 經 | 局貨 工 消 費 合 作 社 印 製 目前亦已發現到具有本發明所界定之特徵之漿液組成 物提供對於金屬移除速度與選擇性之性質優點,此外,亦 已發現到本發明之一漿液組成具有未被普雷斯頓方程式所 描述到之性質,即非普雷斯頓式行為。 在本發明中提供一種用於CMP之漿液組成物,其包含 一表面改質氧化矽,本發明亦包括一種製備該漿液的方法。 本發明之特徵係特別在本說明書之一部份之申請專利 !245789 A7
'發明説明( B7 範圍中特別指出來,.本發 , ^ 令月之沒些與其他特徵,其操作優 點與由其使用所獲得 特疋目的將由下列詳細說明與操作 例而更完整地了解。 本發明之漿液組成物舍衽_ 巧匕括一表面改質氧化石夕,使用在 本發明之漿液組成物之盡^ , 乳化矽可以由習知技術來製備,這 些習知技術為’例如,揭露在美國專利第5,353,999 ; 5,739,197; 5,888,467; 5,91 1,963; ^ 6,086,669^ ^ ^ 〇 在-實施例中’該氧切可以是_單分散膠體氧化石夕,氧 切凝膠、煙燻氧切或沈㈣切,料,該氧化石夕可 藉由任何習知之方法來加以表面改質,這些方法包括例 如,揭露在於此加入作為參考且具有以下美國申請案號第 09/636,308 ; 09/636,309 ; 09/636,310 ; 09/636,31 1 ; 09/63 6,3 12,與09/63 6,71 1號中;以及在上述之其他專利與 刊物中之方法。 經濟、ΐ二夬標逢養貝工消費合作社印製 ,智慧財產局 在此與在申請專利範圍中所使用之用語“漿液,,表示 一氧化矽與一液體之混合物,且該用語“表面改質氧化 矽”表示一共價結合於一改質部份之氧化矽。該改質部份 可包括一有機群、一有機金屬群、或其組合。在一實施例 中,該改質部份是一有機矽烷。在另一實施例中,該氧化 矽之表面係藉二甲基二氣矽烷與該氧化矽之反應來改質。 通常,氧化矽可藉由混合一可溶性金屬矽酸鹽之水溶 液與一酸而製得,該可溶性金屬矽酸鹽通常是一驗金屬石夕 酸鹽如石夕酸納或石夕酸钟。該酸係可為由礦物酸、有機酸、 本紙張尺度適用中國國家標準(CMS ) Α4規格(210Χ297公釐) -8- 1245789 A 7 B7 五、發明説明( 酸鹽/ ^乳讀構叙群巾所選接著可魏動夕”, &水液’-酸或鹼被加人該㈣鹽續㈣,所得 : 顆粒由該混合物之液體部份令分離出來。使用習夕 洗、乾燥與分離方法,將該分離出來之氧化石夕以水沖洗’ 再將該濕的氧化石夕產物乾燥,並且接著將該乾燥之氧化石夕 由其他反應物之殘留物中分離出來。 在本發明之一實施例中,該襞液組成物之表面改質氧 化石夕可包括多數主要顆粒,眾所週知,當石夕酸鹽聚合至足 夠之分子量而使得該聚合物之尺寸超過一⑴奈米時,形成 分離之氧切顆粒。這些難在此被稱為“主要,,顆粒,用 以使主要顆粒具有特徵之方法已揭露在習知技術文獻中 ^The Chemistry of Silica,^ Ralph K. Her, 1979 John Wiley & Sons,New York,第 5章)。 經濟部口芡貝工消費合作社印製 智慧財產局 如在此所使用者,在該氧化矽中之主要顆粒之平均直 徑是使用CTAB比表面積來計算,該計算包括以27川除以 每克平方米之比表面積,這方法類似於該11以參考文獻(上 述文獻第456頁)所述之對於具有一每立方公分2·2克之骨 幹密度之非結晶性氧化矽者。在本發明之一實施例中,該 等主要顆粒具有至少7奈米,或至少1〇奈米,或至少15奈米 之平均直徑。 在一實施例中,該等主要顆粒可大約是球狀的。 此外,眾所週知,具有小於大約1〇〇奈米之尺寸之主要 顆粒顯示一群聚在一起且除了在該等主要顆粒内之矽烷鍵 以外,在該等顆粒之間形成共價矽烷鍵之傾向(如, 本紙張尺度適用中國國家標準(CNS〉Α4規格(210Χ297公楚 -9- 1245789 A7 五、發明説明(7 )
Iler ),這些共價鍵結之主要顆粒在此被稱為“集合 體,用以使集合體特性化之方法亦已揭露在習知技術 (如,“Iler”)中。主要顆粒之集合體係藉由在製備過程中 控制氧化石夕與氫氧化物之濃度而在單分散膠體氧化石夕中被 減至最少或去除。 在氧化矽中之集合之主要顆粒間的鍵結係弱到足以使 該等鍵可在使用市售設備如習知均質機、NanomiserTM,或 MiCr〇fluidiZer™來施加機械性剪力時斷裂,在一實施例 中’在該氧切中之集合體之主要顆粒間之鍵結斷裂以提 供本發明之漿液’其中該集合體之尺寸小於或等於五⑺ 微求,或小於或等於一⑴微米,或小於或等於半(〇·5)微米。 該集合體之尺寸可以由熟習此項技術者所知之方法來 經
財1 局f 工 消 費 合 作 社 印 製 測疋,例如使用光散射法,如一 c〇uher LS顆粒尺寸分析 儀。在此與在申請專利範圍中所使用之“集合體尺寸”係 疋義為依據體積百分比由使用c〇ulter LS顆粒尺寸分析儀 進订光散射所測定集合體的直徑。在這光散射法中,直徑 係由一液體動力迴轉半徑來測定而不論該集合體之真正形 狀為何。Μ “平均集合體尺寸” ^依據體積百分比之集合 體之平均直徑,在-實施例中,該平均集合體尺寸是由二 至 250nm。 用來製備本發明之漿液組成之氧化矽係可使主要顆粒 之集合體在受到一顆粒尺寸減少技術處理時,可以‘‘崩 解”成更小之主要顆粒之集合體,用以製造該氧化矽之加 工條件係可使它們比較容易形成會崩解成更小集合體之集
-10- 1245789 A7 B7 五、發明説明(8) . 一 合體,吾人相信會崩解之集纟體係由於氧化^合體在該 等主要顆粒間具有較少矽烷鍵之故。 吾人亦相信油吸收率是該氧化矽結構之開孔度的測量 值及该氧化矽進行顆粒尺寸減少之適用性之指標,在一實 施例中,至少50%之集合主要顆粒被減小至具有小於一 微来之尺寸的集合體。在一實施例中,至少80%,且以100% 較佳之集合主要顆粒被減小至具有小於一(1)微米之尺寸 的集合體。如在此與在申請專利範圍中所使用者,該非結 晶性沈澱氧化矽之鄰苯二酸二丁酯(DBP)油吸收率係依據 ASTM D 2414-93,使用鄰苯二酸二丁醋作為〇及收劑來測 定。本發明之氧化矽通常具有至少每1〇〇克氧化矽15〇毫升 之油吸收率,在一實施例中,該油吸收率係至少每克氧 化矽220亳升。 但是,油吸收率不能作為氧化矽進行顆粒尺寸減少之 適用性之唯一指標,在某些情形中,顆粒間連結也會強化 一氧化矽集合體且即使該油吸收率高也會防止該氧化矽崩 解。或者,也可以使用顯微鏡來實體地測量在主要顆粒間 之材料連結的程度。 在一實施例中,本發明之漿液包含表面改質沈澱氧化 石夕。 用於本發明之漿液中之欲表面改質之氧化石夕具有至少 1.0之被定義為該BET-氮(5點)表面積對CTAB比表面積之 比率時之“表面粗糙度”,在此所使用之用語“ΒΕτ表面 積”係藉 Bmnauer,Emmett,與 Teller(BET)法並依據 astm 本紙張尺度顧t關家縣(CNS ) A4規格(2歐297公着了 —-----— -11- 1245789 at Β7 五、發明説明() 9 D1993-91來測定。在此處與申請專利範圍中所使用之用語 “表面粗糙度”係以一種類似於由Anderson與Emmett定 義為BET氮表面積對電子顯微照片所測定之表面積之比率 的“粗糙度因子”之方式來定義(參照R.B· Anders on與Ρ·Η· Emmett Journal of Applied Physics 1939,19, 367),在此, 由該電子顯微照片所測得之表面積係被CTAB比表面積取 代。 該BET表面積係藉由插入五個來自一以Micromeritics TriStar 3000TM設備所取得之氮吸附等溫線測量值的相對 壓力點來測定,一 FlowPrep-060™站提供熱與一連續氣流 以製備用以分析之樣品。在氮吸附之前,該氧化矽樣品以 在流動氮(P5級)加熱至160 °C至少一(1)小時之方式來乾 燥。 經濟部U芡$爲負工消費合作社印製 智慧財產局 (請先閱讀背面之注意事項再填寫本頁) 該CTAB比表面積是該氧化矽之外表面積之測量值, French 標準法(French Standard NFT 45_007,Primary Materials for the Rubber Industry: Precipitated Hydrated Silica,Section 5.12,Method A,pp. 64-71,November 1987) 藉由在由9.0至9.5之pH值下吸附之前與之後使用陰離子界 面活性劑Aerosol OT之溶液作為滴定劑來測定CTAB(溴化 十六院三甲基銨)之量的方式測量該外比表面積。與使用過 濾來分離該氧化矽之其他CTAB法不同,該French標準法使 用的是離心方式。一預定重量之氧化矽所吸附之CTAB之 量與被該CTAB分子所佔據之空間係被用來計算該氧化矽 之外比表面積’該外比表面積值是以平方米每克表示。用 本紙張尺度適财關^-;- -12- 1245789
x測疋该CTAB之詳細步驟係在例子中說明。 一氧化矽之表面積與表面粗糙度可依據用來製備該& 化石夕之方法來決定,在一實施例中,使用在本發明中 化石夕係藉由使用-沈殿法來製備。通常,在沈殿步驟時: 較低溫度與較高之氫氧化物含量會產生一具有一高CTab 比表面積之氧化矽。在沈澱步驟之後,一較高温度與_較 長之硬化時間,通常會減少粗綠度。 在一實施例中,該氧化矽之表面粗糙度可以相對一預 定主要顆粒尺寸藉由改變沈澱條件來增加,例如,該氫氧 化物濃度可以在“硬化,,步驟(如以下所述製程之步驟ie.) 時藉由將一鹼,如一氫氧化物加入該混合物中而增加,所 加入之氫氧化物之量可使得氧化矽對氫氧化物之莫耳比係 由3.3至10;且在另一實施例中,是由4〇至66。該氫氧化 經濟韋夬靈4貝工消費合作社印製 智慧財產局 物可選自多種已知的氫氧化物,如氫氧化鉀。增高之氫氧 化物》辰度使BET表面積明顯地變向’但是該CTAB比表面積 並未改變或僅稍微增加。這方法通常被用來增加一具有低 CTAB表面積之氧化石夕之表面粗糙度,一 “低” CTAB表面 積通常小於100m2/g。 在另一實施例中,該矽酸鹽與酸流量在整個矽酸鹽與 酸添加步驟中是平衡的(如以下所述製程之步驟〗.c.)以保 一較高之矽酸鹽對酸流量比。在這實施例中,該較高氫氧 化物濃度減少在該添加步驟時石夕酸鹽中和之值,這方法通 常可被用來增加一具有一高CTAB表面積之氧化矽之表面 粗糖度,一 “南 CTAB表面積通常大於i〇〇m2/g。 5^尺度適用中國國家標準(€泌)八4規格(21〇\297公釐) — : -13- 經濟部tp·夬局員工消費合作社印製 .智慧財產局 1245789
五、發明説明( 11 曰 卜改支w亥硬化步驟之時間也可以被用來在該反應 此口物具有小於或等於8·52ρΗ值時改變一氧化石夕之表面 粗糙度(如以下所述製程之步驟nd),在這範圍内,一 較長之硬化時間將導致一較低之表面粗糙度。 * -製備欲被表面?文質且使用纟本發明之漿液中之未改 質氧切的方法可包括將—I㈣金屬㈣鹽溶解於水中 以產生一“摻合”溶液,或者,一鹼金屬矽酸鹽水溶液之 濃縮溶液可稀釋以得到在該“摻合,、容液中所需濃度之鹼 金屬(Μ2〇)。在此,鹼金屬之重量係在分析上被稱為 Μ2〇” 。該鹼金屬矽酸鹽可以是由矽酸鋰、矽酸鈉、矽 酸鉀、及其混合物所構成之群中所選出者。 此處所述之該氧化矽製備法係在一高到足以防止該反 應混合物膠化之溫度下進行。因此,該溫度通常至少為70 c,此外,該製備法進行時之溫度係低到在一非加壓容器 内進行該方法時,足以避免該反應混合物沸騰及相轉移至 結晶。因此,該溫度通常不會高於1〇〇〇c。此外,在這方法 中使用之Si〇2與M2〇係考量膠化與結晶來選擇。 所得之“摻合,’溶液包含由1至3〇重量百分比之Μ% 且具有由0.1至3.9之Si〇2 : M2〇莫耳比,在一實施例中,該 “摻合’’溶液包含由10至25重量百分比之Si〇2 ;且在另一 實施例中,15至20重量百分比之Si〇2。此外,該Si〇2: Μ}〇 莫耳比是由3·0至3·4 ;且在另一實施例中是由31至34。 一製備欲被表面改質以用於本發明之漿液中之具有一 低CTAB比表面積之未改質氧化矽的方法可包括以下步 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公着)
-14- 121245789 A7 B7 經 ..濟 部 智 慧 財I 產 局 消 費 合 作 社 印 製 五、發明説明( 驟。如前所述,該用語“低CTAB比表面積,,通常表示一 大約小於或等於1〇〇平方米每克之值。 (I.a·)摻合”鹼金屬矽酸鹽水溶液之一部份係以水 稀釋以製備一“初始”鹼金屬矽酸鹽水溶液。 這“初始”溶液包含由〇·1至2.0重量百分比之以〇2且 具有由0.1至3.9之SiOrMe莫耳比,該“初始,,鹼金屬矽 酸鹽水溶液包含由0.2至1·5重量百分比之Si〇2 ;或由〇·3至 1.0重i百分比之Si02。此外,該si〇2 : m20莫耳比是由1 6 至3.9 ·,或由2.9至3.5或由3.1至3.4。 (I.b·)—酸被加入該“初始,,鹼金屬矽酸鹽水溶液中 以中和存在之Μβ,因而形成一第一反應混合物。在一實 施例中’在初始鹼金屬矽酸鹽水溶液中之至少百分之的 M2〇被中和,在此百分之丨⑻的乂山被中和。在一實施例 中由百分之95至1〇〇的被中和。 。亥中和百分比可藉假設一(1)當量之強酸中和一(1)當 量之M2〇來計算,例如,!莫耳(2當量)之硫酸中大丨莫耳 當量)之M2〇。在一實施例中,該pH係調整至小於9·5,或 小於9 · 0,或小於或等於8 · 5。使用在這中和製程步驟中之 適當酸可有許多變化。通常,該酸應強到足以中和該鹼金 屬矽酸鹽,這些酸之例子包括硫酸、鹽酸、硝酸、磷酸、 甲S文、乙酸及其混合物,在一實施例中所使用的是硫酸、 鹽酸、硝酸或磷酸,而在另一實施例中使用的是硫酸。 (I.c·)該“摻合”鹼金屬矽酸鹽水溶液之另一部份與 酸係,較佳地同時地,在一段時間内加入該第一反應混合 (請先閱讀背面之注意事項再填寫本頁} 訂 -15- 13 1245789 A7 B7 五、發明説明( 物以形成一第二反應混合物。在—實施例中,該添加之動 作是在-段由20至18〇分鐘;或由3。至12()分鐘;或由㈣ 9〇分鐘之期間内完成。所使用之“摻合” @液之量係可使 所添加之Si02之量為在肖“初始”驗金屬梦酸鹽水溶液中 之3!02之量的0.5至30倍。在一實施例中,戶斤添加的是在該 ‘‘初始”溶液中之Si〇2之量的3至28倍,而在另—實施例 中,所添加@酸之量可使包含纟同時添加時所添加之“換 合”溶液中至少百分之90之M2〇被令和。在一實施例中, 至少百分之95之MaO被中和;且在另一實施例中,百分之 1〇〇之M2〇被中和。在一實施例中,該pH小於9·5,或小於 9.0 ;或小於或等於8.5。 使用於這第二中和製程步驟中之適當酸可有許多變 化。如上所述,該酸應強到足以中和該鹼金屬矽酸鹽,這 些酸之例子包括硫酸、鹽酸、硝酸、磷酸、甲酸、乙酸及 其混合物,在一實施例中所使用的是硫酸、鹽酸、硝酸或 磷酸,而在另一實施例中使用的是硫酸。
(I.d.l.)如果一欲被表面改質以用於本發明之漿液中之 具有一低表面粗糙度之未改質氧化矽是必要的,則於該第 二混合物中加入酸並加以攪拌以形成一第三反應混合物, 在此所使用之“低表面粗糙度”表示一氧化矽具有小於 1.2之BET奉面積對CTAB比表面積之比率。所使用之酸之 量係使該第三反應混合物之pH等於或小於9.3,在一實施例 中,該pH係由7.0至9.3 ;且在另一實施例中,係由7.5至9.0。 在這步驟中可使用多種酸,所選擇之酸應強到足以使該pH 本紙張尺度適用中國國家標率(CNS)A4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部口芡標溧^>貞工消費合作社印製 智慧財產局 -16- 1245789 A7 B7 經濟都U芡標瘅^^工消費合作社印裝 .智慧財產局 五、發明説明() 14 減少至一在該等pH範圍内之值。適當之酸包括硫酸、鹽 酸、石肖酸、麟酸、曱酸及乙酸。在_實施例中可使用硫酸、 鹽酸、瑞酸或鱗酸。 (I.d.2.)如果一欲被表面改質以用於本發明之漿液中之 具有一高表面粗糙度之未改質氧化矽是必要的,則於該第 二混合物中加入氫氧化物並加以攪拌以形成一第三反應混 合物,在此所使用之“高,,表面粗糙度表示一氧化矽具有 等於或大於1.2之BET表面積對CTAB比表面積之比率。所 添加之氫氧化物之量係可使氧化矽對氫氧化物之莫耳比大 於2.9,在一實施例中,氧化矽對氫氧化物之莫耳比係由33 至10 ;且在另一實施例中是由4.0至6·6。在這步驟中可使 用多種氫氧化物,適當之氫氧化物之例子包括氫氧化銨、 氫氧化钟、鼠氧化納、有機銨氫氧化物、有機胺之氫氧化 物及其混合物。在一實施例使用的是氫氧化鉀。 (I.e.)任一第三反應混合物(低或高表面粗糙度者)均可 以攪拌來硬化,在一實施例中,硬化之時間是由1〇到1〇〇 分鐘;且在另一實施例中是由2 0到9 0分鐘。 (I.f·)接著酸被加入該第三反應混合物中並同時擾拌 以形成一第四反應混合物,所添加之酸之量係可使該第四 反應混合物pH小於7.0,在一實施例中,該pH係由3.0至 6.0 ;且在另一實施例中,係由3.5至4.5。在這步驟中可使 用多種酸,如前所述地,所使用之酸應強到足以使該混合 物之pH減少至該等特定範圍内。這些酸之例子包括硫酸、 鹽酸、瑣酸、填酸、甲酸及乙酸。在一實施例中係使用硫 •^^^用中國國家標準(CNS ) A4規格(210X^97公釐) " ~ ^ -17- (請先閱讀背面之注意事項再填寫本頁)
、1T 1245789 A7 B7 15 五、發明説明( 酸、鹽酸、硝酸與磷酸。 在-實施例中,一欲被表面改質以用於本發明之聚液 (請先閱讀背面之注意事項再填寫本頁) 中之具有高CTAB比表面積之未改質氧化矽可依據以下製 程來製備。 ^ (II.a) “摻合”鹼金屬矽酸鹽水溶液之一部份可以水 稀釋以產生-含有由(MM5重量百分比之叫且且有由 0·02莫耳每升至G.35莫耳每升之氫氧化物含㈣“初始” 鹼金屬矽酸鹽水溶液,另外的氫氧化物可加入這初始鹼金 屬石夕酸鹽水溶液以調整該氫氧化物纟量成為由002莫耳每 升至0.35莫耳每升。在—實施例巾,該初始驗金屬石夕酸鹽 水溶液包含由0.2至4.0重量百分比之Si〇2 ;且在另一實施 例中是由0.3至3.0重量百分比之Sl〇2。另夕卜,在一實施例 中,該氫氧化物之含量是由〇·02莫耳每升至〇·26莫耳每 升;且在另一實施例中是由〇·〇3莫耳每升至〇·22莫耳每升。 Μ 工消費合作社印製 智慧財產局 以莫耳母升表示之一反應混合物之氫氧化物含量可藉 由使用0.645Ν鹽酸並且在酚酞指示劑存在之情形下滴定以 大約100毫升乏去離子水稀釋之該反應混合物之樣品來測 疋’接著’以莫耳每升表示之氫氧化物含量藉由將在上述 滴定中所使用之0.645Ν之HC1之毫升數,乘以滴定劑之當 量濃度,並且除以用毫升表示之反應混合物之體積來加以 計算。 (Il.b.)摻合鹼金屬矽酸鹽水溶液與酸,最好是同時 地’被加入該第一反應混合物並且擾拌一段時間,藉此形 成一第二反應混合物,其中摻合鹼金屬矽酸鹽水溶液之量 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) »18- 1245789 A7 B7 五、發明説明() 16 係可使所添加之Si%之量為在步驟(ILa)中所產生之該初 始鹼金屬矽酸鹽水溶液中之Si02之量的0.5至30倍,而該酸 之量係可使在步驟(ILa)中所產生之該氫氧化物含量得以 保持。在一實施例中,所添加之Si〇2之量為在步驟⑴…中 所產生之忒初始鹼金屬矽酸鹽水溶液中之si〇2之量的3至 28倍,每添加可在一段由2〇至18〇分鐘之期間内完成,或 者,這添加可在一段由30至12〇分鐘之期間内完成。在一實 施例中,該添加可在一段由45至9〇分鐘之期間内完成。 (II.c·)於該第二混合物中加入酸並加以攪拌以形成一 第二反應混合物,所使用之酸之量係使該第三反應混合物 之pH等於或小於9.3,在一實施例中,該pH係由7〇至9.3 ; 且在另一貫施例中’係由7 · 5至9. 〇。在這步驟中可使用多 種酸,所選擇之酸應強到足以使該pH減少至一在前述特定 範圍内之值。適當之酸包括硫酸、鹽酸、硝酸、磷酸、甲 酸及乙酸。在一實施例中係使用硫酸、鹽酸、硝酸或磷酸。 經濟央貝工消費合作社印製 智慧財產局 (Il.d·)該第三反應混合物可以攪拌一段時間來硬化, 在一實施柄中,硬化之時間是由1〇到12〇分鐘;且在另一實 施例中是由20到90分鐘。 (II·d· 1 ·)在一實施例中,一具有一低表面粗縫度之氧化 石夕係藉硬化30分鐘以上而產生,在另一實施例中,該硬化 步驟比60分鐘更久。如前所述,此處所使用之“低,,表面 粗糙度表示一氧化矽具有小於1.2之BET表面積對CTAB比 表面積之比率。 (II.d.2.)在一實施例中,一具有一高表面粗糙度之氧化 本紙張尺度適用中國國家標準(CNS )以規格(21〇>< 297公釐) 、 -19- 1245789 A7 B7 經濟部口芡貝工消費合作社印製 智慧財產局 五、發明説明() 17 矽可藉硬化120分鐘或不到12〇分鐘而產生,在另一實施例 中,該硬化步驟係進行3〇分鐘或更久。如前所述,此處所 使用之“高”表面粗糙度表示一氧化矽具有等於或大於 1.2之BET表面積對CTAB比表面積之比率。 (Il.e·)接著酸被加入該第三反應混合物中並同時攪拌 以开》成一苐四反應混合物,所添加之酸之量係可使該第四 反應混合物pH小於7.0,在一實施例中,該pH係由3 ·0至 6.0 ;且在另一實施例中,係由3 5至4 :5。在這步驟中可使 用多種酸,如前所述地,所使用之酸應強到足以使該混合 物之pH減少至該等特定範圍内。這些酸之例子包括硫酸、 鹽酸、硝酸、磷酸、甲酸及乙酸。在一實施例中係使用硫 酸、鹽酸、硝酸與磷酸。 用以製備一具有高CTAB比表面積之氧化矽之製程及 用以製備一具有低CTAB比表面積之氧化矽之製程,如上 所述地,可更包括以下步驟。 (Ill.a)在該第四反應混合物中所產生之氧化矽與該已 硬化之第四反應混合物之大部份液體分離,這分離可藉由 用以分離固體與液體之一或多種習知方法來達成,如過 濾、離心、傾析等。 (請先閱讀背面之注意事項再填寫本頁)
(Ill.b·)接著使用任何用以沖洗固體之已知方法,如使 水通過一赛餅,並且在分離該等固體與該液體後使該氧化 矽在水中再成為漿液來沖洗該分離出之氧化矽,如有必 要,可使用一次沖洗循環或連續多數沖洗循環。沖洗該氧 化矽之目的是去除由多次中和所形成之鹽至所需之低值,
-20- !245789 A7 B7 五、發明説明( 18 =氧切通常被沖洗直到在乾燥氧切中之鹽濃度小於或 於-⑺重1百分比為止。在_實施例中,該氧化石夕被沖 >直到鹽濃度小於或等於一⑴重量百分比為止。 ▲ (III.e.)接著使用熟f此項技術者所習知之技術來乾燥 2經沖洗之氧切,例如,該氧切可以在—空氣供箱或 I真空烘箱中乾燥。在—實施例中,該氧㈣被分散在水 且在-熱空氣柱中被喷灑乾燥。乾燥完成時之溫度並不 重要’在—實施例中,該乾燥溫度小於熔化溫度,因此, 該乾燥溫度通常小於·。c,該乾燥過程可以繼續直到該氧 化矽具有一粉末之特性為止。 “通常,該經乾燥之氧化矽不是完全無水的,而是含有 “結合”水(如由丨至5重量百分比)與不同量之非結合水之 77 (由1至15重里百分比)。後者可依據主要之相對濕度 及來自A工乾燥之樣品之重量損失來決定,“結合,,水在 此係4義為藉由在假燒溫度,如由⑼。〇至12⑻。c下再加 熱該氧化矽被去除之水。在本發明中,該結合水值被用來 十算母克無水伤氧化石夕之氫氧基之數目,在這計算中,係 經濟^男工消費合作社印製 智慧財產局 假設每一莫耳之結合水有兩表面氫氧基,每nm2之氫氧基 之數目係依據以下公式來計算: 每nm之氫氧結合水*(ctab比表面積)] 其中痒結合水為莫耳每克氧化矽,該CTAB比表面積 為平方米母克氧化石夕’且N為亞佛加厥(Av〇ga(jr〇)數(每莫 耳6·023χ1 023氫氧基)。 4未改貝氧化石夕之表面通常含有來自矽烷鏈終端矽烷 ^張尺度適用中國國家標準^^——^ -21- 1245789 A7 B7 五、發明説明() 19 醇之氫氧基,每單位面積之氧化矽之氫氧基數目將隨著製 備方法改變。火法會產生具有低氫氧基含量的氧化矽,通 常每奈米平方之表面積少於7個氫氧基。水熱法類似於使用 在單分散膠體氧化矽或沈激氧化矽中者,會產生較高氫氧 基含量氧化矽,通常每奈米平方之表面積等於或多於7個氫 氧基。 經濟、ftp央標率養貝工消費合作社印製 智慧財產局 (請先閱讀背面之注意事項再填寫本頁) 在使用一以氧化矽為基體之漿液之CMP製程時氫氧基 在移除材料上之角色已經被提出了,例如,已提出的是在 該漿液中之氧化矽之氫氧基結合在該二氧化矽ILD中之氫 氧基,且在化學上地有助於ILD移除(見L. M. Cook,in Journal of Non-Crystalline Solids 1990,120,152-171)。氫 氧基對在CMP中以含有煙燻氧化矽之漿液移除銅與鈕之速 度的影響亦已被提出了(見Li,Y.與Babu,S.V. “Chemical Mechanisms in CMP of Cu and Ta using Silica Abrasives’’, Fifth Annual CMP Symposium 2000 August 14, 2000,Lake Placid,New York,及 Li,Y.; Jindal,A.與 Babu,S.V·,Role of Chemicals and Abrasive Particle Properties in Chemical-Mechanical Polishing of Copper and Tantalum, Proc. The Electrochemical Society 198th Meeting, Phoenix, Arizona,October 22- 27,2000) o 在一實施例中,每nm2之氫氧基數目至少是7,或至少 是10,或至少是15。如上所述,這些參數是由一沈澱法製 備之氧化石夕之典型代表。 重量百分比水份之測定包括一用以測量由真空乾燥在 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -22- 經濟部口受榉绛局負工消費合作社印製 智慧財產局 1245789 ΑΊ Α7 Β7 五、發明説明() 20 大約1 05 C下所得之樣品之重量損失的方法,一步驟係揭露 於 ASTM Standards,Method A of D-280, Volume 06.62。一 氧化矽樣品係在一秤重瓶中於大氣壓下在1 〇5 ^ ±3它下乾 燥,在大約30分鐘之後,連接至真空且該樣品在真空中再 乾燥30分鐘。初始樣品之重量損失係被視為水份損失,且 係被用來測定重量百分比水份。 每克氧化矽之結合水係由以下方式測定,每克氧化矽 之總重量損失在將該氧化矽由室溫加熱至U5(rc 一小 日守後藉測重燃燒來測量,而該水份損失(如上所述)則由總 重量損失中減去。此外,每克在燃燒時發生之氯與三氧化 硫之重1損失亦由該總重量損失中減去。氯與三氧化硫之 含1係分別由在該氧化矽中之氯鹽與硫酸鹽之量計算出來 者,氣與硫酸鹽之濃度係藉在該氧化矽上之χ射線螢光測量 來測定。因此,每克氧化矽之結合水係由以下公式計算而 4曰 · 付· 每克氧化矽之結合水=在i 15(rc下每克重量損失_在 1〇5 C下每克水份-在115〇它下每克氣損失-在1150〇c 下每克三氧化硫損失 通¥,對上述氧化矽沈澱法而言,使用在各種不同步 驟中之攪拌程度的變化很大,在加入一或多個反應物時所 使用之授I半應至少足以提供該反應物與反應混合物之徹底 分散以避免普通之反應物之局部高濃度且確使氧化矽沈積 大致均勻地發生。在硬化時所使用之授掉應至少足以避免 固體之下沈以確使在該整個氧化石夕之質塊中而不是在或靠 本紙張尺度適用家辟(CNS )〜祕(21Gx297l^y 一- (請先閱讀背面之注意事項再填寫本頁)
-23- 經濟部口^:標準屬貝工消費合作社印製 智慧財產局 1245789 五、發明説明( k下沈顆粒層之頂部處之顆粒上發生大致均勻之氧化石夕 沈積。 士岫所述,用來製備本發明之漿液之氧化矽係在接受 列顆粒尺寸減小方法處理時,集合之主要顆粒得以“斷 衣4成較小之主要顆粒之集合體,該氧化矽之表面可以在 斷裂’該等主要顆粒之集合體之前或之後被改f,所得 之較小集合體可具有一小於5(五)微米,或小於1( 一)微米, 或小於〇·5微米之集合體尺寸。目此,本發明之裝液可包含 具有一小於5(五)微米,或小於丨(一)微米,或小於〇·5微米 之集合體尺寸之集合體。 顆粒尺寸減小方法係習知的且可以研磨與磨碎為例, 在一實施例中,一濕磨法,如一流體能量研磨法可被用來 使该等集合之主要顆粒的尺寸減小。這研磨法包括使用空 氣或過熱蒸氣作為工作流體,流體能量研磨已揭露在在習 知技術中(例如 ’ perry丨s Chemical Engineers Handbook,4th Edition,McGraw-Hill Book Company,New York,(1963), Library of Congress Catalog Card Number 6113168,pages 8-42 and 8-43; McCabe and Smith, Unit Operations of Chemical Engineering, 3rd Edition, McGraw-Hill Book Company,New York (1976),ISBN 0-07-044825-6,pages 844 and 845; F· E Albus,’’The Modern Fluid Energy Mill1’,
Chemical Engineering Progress,Volume 60,No. 6 (June 1964),pages 102-106,其整個内容在此加入作為參考)。 在該流體能量研磨法中,該氧化矽之集合之主要顆粒 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) #丨丨 (請先閱讀背面之注意事項再填寫本頁) 、1r .... -24- 1245789 A7 五、發明説明( 係懸浮在一氣流中且在一封閉室内以—高 圓形路徑中循環流動,某些集合體尺寸2、、' I —圓形或橢 粒撞擊或摩擦該封閉室之壁時發::减少係在該等顆 尺寸減少係由顆粒間之互磨造成。人彳目信大多數的 在另-實施例中,氧化石夕係藉使該氧化石夕盘—古 喷射流直接接觸而被分散,接著 q 土水 入八女, 者所侍之水溶液漿液流被帶 入-含有-連串交錯窄孔與寬孔小室之空穴室皮: 壓水喷射流以一相對流動方向被導 — —问 笙. 伋等入°亥工八室以加強在該 #小至内之氧化矽顆粒碰撞。 在另-實施例中,用於本發明之漿液中之氧化石夕伟夢 由使用-有關揭露於WOOO/39056與5,720,55 i中之裝置‘ 方法的雙喷射流室法來減少該氧化石夕之集合體尺寸:在: 些參考資料中揭露之該方法使用一雙喷射流室以藉減小在 一水油混合物中之液滴尺寸來產生乳化液。 經濟部口 央貝工消費合作社印製 智慧財產局 在本發明之一實施例中,一雙噴射流室法被用來製造 一用於半導體之CMP用之漿液中,因為在該氧化矽中之集 合體必須具肴小於一⑴微米之集合體尺寸以防止水刮 擦。在一實施例中,該雙喷射流室法包括一含有兩噴嘴之 衣置’各喷嘴沿一路徑傳送一流體之喷射流,該等嘴嘴係 大致互相相對。因此,第一流體之喷射流朝向一第二流體 之喷射流,且兩流體之喷射流在一長形室中之區域中交.互 作用。該等噴嘴可以是陶瓷,如氧化鋁、藍寶石、或塗佈 鑽石者’使得來自該流體之磨耗減少。在一實施例中,該 流體包含水’該長形室之構造係可形成一來自兩喷射流且 冬紙張尺度顧悄__ ( CN^^(21〇x;^ -25- 1245789 Α7 Β7 五、發明説明(2) ~~ 循者具有與其中一喷射户夕甘士 、名 、考ί机之其中一通道大致相反方向之通 道的流體流。為了減少顆粒尺寸’該室包括可具有不同特 性(如,内徑、輪廓、與組成物)之一或多個反應器。在一 實施例中所使用的是等於或小於十二⑽個反應器,或四 (4)到人⑻個反應’多數密封構件敎位在料反應器之 間,該等密封構件可具有不同之密封特性(如,内徑),該 等密封構件之内徑對該等反應器之内徑的比係大於一 (1),或大於二(2) 〇 兩流體之噴射流係由兩具有不同直徑之喷嘴孔喷出, -流體喷射流之速度具有絕對優勢而另—流體噴射流之速 度則居於劣勢。兩噴射流速度之比率將影響在該長形室中 之預定顆粒之平均停留時間,該劣勢(或較小)噴射流速度 愈接近該優勢(或較大)噴射流速度,則反向流動愈有可能 發生。這逆流將增加顆粒碰撞,且因此加強減少在該氧化 石夕中之該集合體之顆粒尺寸。在該長形室中之一反應器之 内徑可被用來估算該劣勢噴射流之喷嘴尺寸,兩噴嘴之孔 經濟部τρ工消費合作社印製 智慧財產局 徑之比率可大於1:1,但小於2:1,在一實施例中,該比 率是 1.05 : 1至 1.3 : 1。 違雙喷射流室裝置亦包括一靠近釋出該較低速喷射流 之噴嘴的出口孔,該出口孔由該集合體室排出一股流體。 入口孔被α又置在裝没釋出該南速噴射流之喷嘴之長形室 的區域中’該入口孔可被用來接受一第三流體,且朝該較 大噴射流速度之釋出喷嘴釋出該第三流體。在本發明之一 貝施例中,該第三流體包含氧化矽,在另一實施例中,該 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公潑) -26- 經濟部tb央^貝工消費合作社印製 智慧財產局 1245789 A7 五、發明説明(2] 氧化石夕是-沈澱氧化石夕,或一喷霧乾燥氧化石夕。在其他實 施例中,該第三流體可再包含一氣體,如空氣,或一液體, 如水。通過該噴嘴中之壓力降在這入口孔處產生一真空。 該氧化料韻式料機,如_職饋送機被送 入4入口孔,或者,該氧化矽可藉由利用真空將該氧化矽 抽吸通過一饋送管並進入該入口孔而被加入該入口孔中。 進入兩噴嘴中之流體壓力必須使該等喷射流獲得一足以減 y名氧化矽之集合體尺寸之速度,通常,足夠之顆粒尺寸 減夕係使用超過30,〇〇〇psig,或超過4〇,〇〇〇psig之由具有範 圍在0.1至0.15亳米之孔之喷嘴的喷射流。 該等流體之喷射流可包含在水喷射流技術中用以減少 喷嘴磨損及減少能量消耗之習知化學品,如聚丙婦酿胺共 聚合物。.該等流體之喷射流亦可包含其他化學品,如表面 活性劑與增稠劑,以防止顆粒絮凝沈澱。在該氧化矽之顆 粒尺寸減少後,其他可溶性配方成份可被加入該流體之噴 射流而不是被加入該產物漿液。 在另一實施例中,該氧化矽可在未乾燥之情形下藉由 使該液化產物通過一高壓均質機而被分散以減少該集合體 尺寸,為了使該集合體尺寸最適當化,通過該均質機之多 次循環可能是必要的。氧化矽在流體中之預分散液也可經 由一均質機進行顆粒尺寸減少之處理。在本發明之一實施 例中,在該漿液中可使用一氧化矽之混合物。一混合物可 包括至少一表面改質氧化矽與至少一未改質氧化矽之混合 物,該漿液可包括至少一具有一種表面改質之氧化矽盥至 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 -27- 1245789 A7 B7 五、發明説明( 少-具有不同種類之表面改質之氧切。各氧切可豆有 :同之物理性質’例如表面積、表面粗輪度、形狀、孔隙 度、組織形態;及不同之化學性質,例如氫氧基含量、表 面改質、離子含量、及少數無機成份。-混合物可更包括 -個以上之表面改質氧化矽’其中各氧化矽具有一不同平 均顆粒尺寸直徑。 在-實施例中,—使用在_CMp製程中之㈣可以由 添加無鈉酸至«液來配製,其添加量係可使該混合物之 PH大於2。適當的酸礦物酸,如硫酸與鹽酸;或有機酸, 如羧酸、二酸、或多元酸、及其混合物。各種習知之緩衝 劑亦可添加於該混合物中以在該CMp製程中減少pH上下 浮動。其他配方成份也可以被添加至該褒液中以使一特定 ⑽應用’如用以去除某些特定之金屬之性質最適當化, 配方成份可包括防腐劑、靜餘刻控制劑、促進劑、金屬齒 化物,如氟化物、表面活性劑、金屬螯合劑或錯合劑、及 氧化劑。 本發明之漿液組成物包括-氧化石夕,其中該表面已經 過表面改質’這表面改質氧化石夕含有除了氫氧基以外的化 學部份,相較於與未經表面改質之氧化石夕,即,僅且有氯 氧基者之交互作用,這些化學部份改變了在該氧切盘該 基材表面間之化學機械交互作用。這些與—含有表面改質 乳化石夕之漿液發生之交互作用之跡像改善了該漿液在該 CMP製程中之性質。 I -含有未表面改f氧切之椠液相對於移除速度與選 本紙張尺度適财關家標準(格(21()><297公釐)-____
-28- 1245789 A7 五、發明説明( 部 1 智」llk% 局I 工 消 費 合 作 社 印 製 擇性之行為係依據該普雷斯頓方程式來描述,因此,對— 含有未表面改質氧化石夕之漿液而言,在定速下,該材料彩 除速度隨著壓力單—地增加。—含有本發明之表面改質氧 化矽之槳液則展現非普雷斯頓行為,因此,對一含有表面 改質氧化㈣液而言’該材料移除速度的行為不會單— 性地符合該普雷斯頓方程式。在低壓時,該表面改質氧化 石夕為基體之漿液之移除速度會與該未表面”氧化石夕為基 體之漿液之移除速度不同。在高壓時’對—使用在本發明 中之表面改質氧化石夕為基體之漿液而言,該材料移除速度 在一實用之壓力範圍内不是預期之普雷斯頓行為,當壓力 開始由低壓增加時,該移除速度開始單一性地增加或完入 不增加。但是,在壓力增加至某一值時,該表面改質氧: 石夕為之主之漿液之移除速度增加並且接近,且可等於該未 表面改質氧化矽為基體之漿液的移除速度。 / 一未改質氧切可以用-或多種共價結合於該氧化石夕 之材料加以處理而產生—表面改質氧切,接著該表面改 質氧化矽被用.來製備一聚液,此外,—包含一未改質氧化 矽之桌液可以用-改質部份來加以處王里以產生一含有表面 改質氧切之漿液。多種材料可制來對該氧切進行表 面改質’通常,這種材料包括有機或有機金屬反應性分子, 或其混合物。適當材料之例子包括錢,例如燒氧基石夕炫、 胺基石夕烧、氯㈣、或醇類等。該未改質氧切可以在70該 集合體尺寸減少<前或之後進行表面?文質,纟一實施S 中’該集合體尺寸係在該氧化矽表被改質之後再減少。 ϋι (請先閲讀背面之注意事項再填寫本頁) 零 111 I: - 訂 ^1. 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨οχ297公釐) -29- 1245789 A7 ............. B7 五、發明説明(^ ^~ ~~ ~ - 第1圖:一顯示一用來以表面改質氧化石夕進行障壁移除 之CMP製程的圖,在該障壁_氧化石夕_塾界面處之局部壓力 超過在一内凹互連部之障壁-氧化石夕-墊界面處之壓力。在 低壓區域中,該金屬互連主要是與該之改質表面相互作 用’在高壓區域中,障壁與該氧化矽之改質表面及核心相 互作用。(所示之大小:—厚度3Gnm之障壁,該邊緣為一 ^有250nm之凹面之2叫〇1金屬互連,具有厚度inm表面改 貝之160nm直控之氧化石夕顆粒。) 第1圖顯示在一 CMP製程時使用一表面改質氧化矽由 一基材上移除一鈕障壁,在第1圖中,在該障壁-氧化矽_ 墊界面處之局部壓力超過在一内凹互連部之障壁_氧化矽_ 墊界面處之壓力。在低壓區域中,該金屬互連主要是與該 之改質表面相互作用,在高壓區域中,障壁與該氧化矽之 改質表面及核心相互作用。(所示之大小:一厚度3〇nm2 障壁,該邊緣為一具有25〇nm之凹面之⑼卜㈤金屬互連,具 有厚度lnm表面改質之i60nm直徑之氧化矽顆粒。) 經濟部口央靈4貝工消費合作社印製 智慧財產局 在一實施例中,一未改質氧化矽之表面係被一化學部 份改質,該化學部份包括一改變在該CMp製程時由一基材 表面上移除材料之速度的基,該基在此被稱為“移除速度 改變基”,該移除速度改變基係共價結合於該未改質氧化 矽之表面。如在此與申請專利範圍中所使用者,“移除·速 度,表示在該CMP製程時每單位時間由一基材,如一晶圓 上移除一材料,如金屬或ILD之量。由於CMP主要是一平 坦化方法,“移除速度,,已被用來作為一每單位時間減少 本紙張尺度適用中國國家標準(CNS )八4規格(210X 297公釐) 1245789 經濟部〒央,^^号貝工消費合作社印製 智慧財產局 A7 87 五、發明説明( 兩度、或削薄一基材之表面,例如每分鐘若干奈米或每分 鐘若干埃的特性。在一實施例中,該移除速度改變基是一 含碳有機或有機金屬部份且該表面改質氧化石夕是一土有機_ 無機混合材料。 除了該移除速度改變基外,該表面改質氧化石夕可包含 來自在該氧化石夕中之石夕氧烧鏈之氫氧基,在-實施例中, 一種以上之移除速度改變基可被用來使該氧化矽表面改 質。 移除速度與選擇性係依據在本發明之聚液中之表面改 負氧化石夕之化學與機械性質而定’移除速度將隨著所使用 之該(等)移除速度改變基之種類、被該(等)基覆蓋之表面的 量、剩餘氫氧基之數目、及該氧化石夕核心之性質而改變。 此外,由於移除速度係由一在該漿液中之氧化矽與該基材 表面之間之交互作用所產生,移除速度亦會依據由該基材 表面上被移除之材料之化學與機械性質而定。這些基材表 面材料包括矽、鋁、氧化矽、鎢、鈦、氮化鈦、銅、鈕、 氮化鈕。., 本發明之漿液亦被用來使介電材料,例如被使用在微 電子裝置如金屬氧化物半導體(M0S)、互補 -MOS(CMOS)、動態隨機存取記憶體(DRAM)等之中的層間 電介質(ILD)平坦化。用以製造這些裝置之方法包括鑲截 法、雙重鑲嵌法、及淺槽隔離法。這些ILD可以是二氧化 矽,或摻雜金屬之二氧化矽如具有硼或磷在硼磷酸鹽氧化 矽玻璃(BPSG)中具有硼或磷者。這些二氧化矽型ILD可以 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公酱) : "一"- ' (請先閱讀背面之注意事項再填寫本頁)
-31- 五、 1245789 發明説明( ^ 予…、錢(CVD),或電漿強化c VD、高密度電漿c VD, ^ 氧化等方法來製造。其他ILD材料包括自旋玻璃(SOG) S:-材料如聚醯亞胺。這些其他的ILD材料包括以石夕 1主之材料,如BlackDiam〇ndTM、摻雜氟之矽酸鹽、乾凝 膠或二氧化二矽,如氫三氧化二矽、有機三氧化二矽。 火為主之ILD包括,例如,巴拉林因、silktm、 非尨奴或碳氟化合物、似鑽石碳或碳氟化合物、或其混 合物。 此外"亥移除速度會隨著該抛光墊之物理性質而改 文通#,較硬之墊會產生較低之移除速度,這可能是因 為在4水液中較少量之氧化矽會在CMp製程中被嵌入該墊 中的緣故。較軟之墊通常產生較大之凹陷與剝蝕,吾人相 。λ凹fe與剝餘可能是由於該墊變形之結果,因而造成在 該基材之表面中之凹孔,且在這些凹孔中之移除速度增加。 所選擇之移除速度改變基會由於該表面改質氧化矽與 。亥基材表面之強黏著力而減緩材料移除速度,一可防止金 屬由該基材表面上移除之移除速度改變基之例子包括二甲 基矽烷醇。其他移除速度改變基會由於該表面改質氧化矽 顆粒與該基材材料之表面之弱黏著力或無黏著力而減緩移 除速度,此外,移除速度改變基會增加相對未改質氧化矽 之金屬移除速度。該基之鏈長度、結構剛性、立體體積、 及局部化學方位會影響該移除速度。 移除速度改變基可以在該CMP製程中相對該未改質氧 化矽表面是具有化學不活潑性的,且可以被選擇成可使在 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公慶) (請先閲讀背面之注意事項再填寫本頁) 4· 訂 -32- 1245789 A7 B7 五、發明説明(3d) 该氧化矽核心、該(等)移除速度改變基、及該基材之間的 空間關係最適當化。在一實施例中,該(等)移除速度改變 基包括,例如,烷基或芳基碳氫化合物基,如甲基、乙基、 丙基、與苯基。此外,移除速度改變基可具有化學反應性 且包括除了碳氫化合物以外之官能基,如含有氫氧基之碳 氫化合物、含有胺基之碳氫化合物、含有酸之碳氫化合物、 含有酯之碳氫化合物、含有雜環之碳氫化合物、有機矽基、 有機矽烷醇基、或聚有機矽烷。 經濟部口受^貝工消費合作社印製 智慧財產局 (請先閱讀背面之注意事項再填寫本頁) 訂 適當移除速度改變基包括尾碳_取代_丨_二烷基矽烷撐 基,如3-取代-1-二甲基丙撐基;芳基取代二烷基矽笨基, 如4-取代-二甲基矽苯;或有機聚矽烷。在這些例子中,該 (等)基係經由一有機矽-氧結合而共價結合於該氧化矽表 面。在一實施例中,該(等)基可以是一藉由一碳-氧結合而 共價結合於該氧化矽表面之雙取代烷基或芳基。如果未依 據在CMP時之條件,如溫度與pH來選擇的話,該(等)移除 速度改變基可能具有水解不穩定性。藉由一碳_氧結合而結 合於該氧化矽表面之基通常是比藉由一矽_氧結合者更具 有易水解性以便斷裂而回復成一未改質表面。 第2圖:氧化矽研磨顆粒藉由以二甲基矽烷醇基處理氧 化矽矽烷醇而被部份地改質。 第2圖顯示一用以使用在本發明之漿液中之未改質氧 化矽之表面改質。 在第2圖中,該移除速度改變基是一共價結合於該氧化 矽核心之二甲基矽烷醇基,雖然所示的是個別的二甲基矽
-33- 1245789 A7 B7 五、發明説明( 3Γ
經 濟 t y 智^ 慧W 局I 工 消 費 合 社 印 製 烷醇,該結構可包含不同長度之二曱基矽烷鏈 可交聯或端接二甲基矽烷醇。 在本發明之一實施例中,該漿液含有二曱基矽烷醇名 面改質氧化矽,由這漿液在銅之CMP時所展現之性質符名 普雷斯頓方程式(即,普雷斯頓性能)。因此,該銅移除这 度隨著增加之壓力以一種在定速下以呈一直線關係之方式 增加。但是,由該二甲基矽烷醇表面改質氧化矽為基體之 聚液在鈕之CMP時所表現出之性質並不符合該普雷斯頓方 程式(即,普雷斯頓性能)。 吾人相信該二甲基矽烷醇基之存在減少了在該基材上 之钽層與在低壓區域中之表面改質氧化矽之間的交互作 用,廷效應可能是由於機械與化學交互作用之故。因此, 鈕移除速度會在低壓以或,如w陷或剝姓之區域中減至最 小。在一高壓區域中,相較於該低壓區域,該交互作用會 日錢地增加。因此,在;^夠高壓下之短移除速度會明顯地 高於在該低壓區域中者。 此外·,吾人相信當壓力夠高時,在該氧化矽與該鈕表 面間之交互作用增加,且該移除速度隨著斜率陡峭之程度 :增加。這增加之交互作用可能^於表面錢醇暴露= 來,或存在於表面改質氧化矽中之表面矽烷醇之數目增 加,或當較硬之氧化石夕核心在高壓下成為結合狀態時該表 面改質氧切抛之有效率改變之故。吾人相信移除速度 之增加亦會增加在該基材之一突出區域中之鈕移除速度, 而在該突出區域中,在該墊與基材間之壓力較高。這些因 I 4! (請先閱讀背面之注意事項再填寫本頁)
、1T
• 1 - I HI ! I 1· I — - -34- 1245789 A7 B7 五、發明説明( 素有助於在一基材表面上產生更好的表面均勾性、較 凹陷與一較長之平坦化距離。 低之 用於本發明之槳液中之表面改質氧化石夕可由可藉被^ 切成-具有小於5微米,或小於!微米,或小於〇·5微来」 合體尺寸而減小之氧化石夕,如沈殿氧化石夕、煙壤氧化=集 氧化石夕膠、及其混合物來製備。此外,本發明之裝液石中所 使用之表面改質氧化石夕可以由被合成為具有小於5微米 小於1微米,或小於0.5微米之集合體尺寸且不必進行顆: 減小剪切之氧㈣源’如單分散膠體氧切與集合:體^ 化石夕來製備。除了氧化石夕以外之表面改質金屬氧化物,如 氧化鋁,也可以被使用在本發明之漿液中。 在-實施例中,-適於對一氧化石夕之表面進行改 部份可以是一以下化學式表示之有機矽烷: 、 R mHbSiX4_m-b 其中R1各表示-可包含—或多個官能基之有機基,且爪表 不由1至3之整數’氫原子可連接於石夕上;b表示—等於或 小於2之整數。X表示-結合於奴可水解基,如i化^、 醋酸鹽或㈣鹽、甲氧基或其他絲基,且(4_叫代表一 由1至3之整數。以這化學式而言與b之和表示—至少為 1與小於4之整數。 # 消 費 合 作 社 印 製 …在另-實施例中,下列化學式之有機石夕烧可被用來對 δ亥氧化碎表面進行改質: (R23Si)2〇 其中R2各表示一可包含-或多個官能基之有機基。 W 尺細中國 -35- 經濟部口芡^^一局員工消費合作社印製 智慧財產局 1245789 A7 ----- 五、發明説明() 在另貝^例中’下列化學式之環與低聚合石夕烧可被 用來對該氧化石夕表面進行改質·
T»0-(R32Si〇)x.T 其中R3各表示一可句合_ 士、 或夕個官能基之有機基,X表示至 t為1之整數,且τ是—鏈末端基,如氫氧基、烧氧基、或 私丙基。 α在另一實施例中,-適於對-氧化石夕之表面進行改質 μ可以疋-以下化學式表示之有機胺♦燒或石夕氮烧: (R4xsi)yNR5zH(3y z)
_其中R4各表示—可包含-或多個官能基之有機基,X 由1至3之整數,且y表示兩結合於氮之矽原子之最大 值。各表示一所結合有基機,其完成胺之原子價狀態, 是由0至3之整數。對此化學式而言,2與又之和是一 至少為1且小於4之整數。 這氧化石夕表面也可以藉由與醇縮合來加以改質,適當 之醇具有通式: r6oh /、中R表不一可包含一或多個官能基之有機基。 用來對一氧化矽表面進行改質之化學部份可以完全 f 11地、、且合’接著與氧化♦反應以完成該氧化0表面之 、=忒氧化矽表面可以用一單體部份來改質,該單體部 :刀:低聚合或共聚合與一表面改質反應同時地且結構性地 * 氧化石夕表面可以藉由與一含有一反應性官能基之 化學部份反應來加以改質,且這官能基可以再與另一化學 ϋ用中國 ^-—- (請先閱讀背面之注意事項再填寫本頁) •
、1T -36- 1245789 五 、發明説明( 34 Λ 7 87 礼&完成該表面改質。 Λ # b夕表面可以藉由與包含一移除速度改變基反應 來加以改質, 、,另外的移除速度改變基也可以藉由隨後之 切與該等另外之基反應來連接。 所:利用含有一反應性官能基之化學部份來加以表面改 貝之减矽可以#由縮合再改質以產生一聚合表面改質氧 ,一利用含有一反應性官能基之化學部份來加以表面 改質=氧切可以藉由縮合再改質以產生_區塊共聚合表 ^貝氧化矽。此外,一利用含有一反應性官能基之化學 部份來加以表面改質之氧化矽可以藉由原子轉移再改質以 產生在 Chemical Communication 2000,2083 中所述之聚 合表面改質氧化矽。 吾人相信使用一含有一 CMP用表面改質氧化矽之漿液 也可減少在後CMP清潔後,殘留在一基材上之殘留物之 里。吾人相信,相較於未改質氧化矽,該表面改質減少在 該漿液之表面改質氧化矽與氧化物ILD之間的交互作用, 藉此使該表®改質氧化矽可以更容易被移除。 經濟央標導屬貝工消費合作社印製 智慧財產局 實施例 對各實施例而言,一摻合矽酸鹽溶液係藉由以去離子 水稀釋市售濃矽酸鉀水溶液至在各實施例中所指定之κ^〇 濃度來製偉,該濃矽酸鉀水溶液通常具有一 30重量百分比 之Si〇2與Si〇2 : Κ2〇之莫耳比為3.25之組成成份。除非另外 指定,使用在各實施例中之酸是硫酸。 如使用在此說明書與申請專利範圍中者,該非結晶沈 本紙張又度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) -37- 1245789 A7 五、發明説明(j 激氧化石夕之CTAB表面積是依據以下步驟所測定之ctaB 表面積: (請先閱讀背面之注意事項再填寫本頁) 使用一分析天平,稱重11·〇克(g)之亦被稱為CTAB與溴化 十六錄纖二曱基銨[CAS 57-09-0]之溴化十六烧三甲基銨 精確至十分之一毫克且將以克表示之重量C記錄下來。將 經稱重之CTAB以蒸餾水溶解至蒸餾水中且在一容積瓶中 以蒸餾水稀釋至2升以形成一標準CTAB溶液,並在使用前 將该標準CTAB溶液存放在黑暗中至少丨2天。使用一分析 天平’稱重3.70克(g)之Aerosol⑧OT,鈉二(2-乙己基)磺琥 珀酸鹽[CAS 577-1 1-7]。將經稱重之Aeros〇l⑧〇τ以蒸餾水 經濟部T央局員工消費合作社印製 智慧財產局 /谷解至瘵餾水中且在一容積瓶中以蒸餾水稀釋至2升以形 成一標準Aerosol⑧0T溶液,並在使用前將該標準Aer〇s〇1⑧ 〇τ溶液存放在黑暗中至少12天。該標準CTAB溶液與該標 準Aerosol® 〇Τ溶液之有效儲存壽命是在12天儲存期後兩 個月。使用一吸管,將1〇毫升(mL)之標準CTAB溶液轉移 至具有一攪拌棒之250mL錐形燒瓶中,接著,將3〇mL氯 仿5OmL蒸鶴水、1 5滴之〇.〇2%演紛藍水溶液指示劑溶液、 及1滴1N之NaOH水溶液加入該燒瓶中。劇烈攪拌但儘量不 使其濺起,以來自一50mL滴定管之標準Aer〇s〇1⑧〇τ溶液 滴定該錐形燒瓶之内容物,該滴定係以一快速滴落速度開 始(該旋塞閥從未大大地打開)且減慢至大約25至3〇mL而 且接著更緩慢地且逐滴地滴定至大約在37 5111乙處發生之 終點。然後,當更接近該終點時,該底氯仿層變成一更深 之藍色且該頂部水溶液層呈現一淡紫色或紫色。緊接終點 本I氏張尺度適财gg家標準(CNS ) M規格(21G><297公董) ---- -38- 1245789 M濟、部口央局員工消費合作社印製 智慧財產局 A7 67 五、發明説明(j 之前’該經劇烈攪拌之混合物變得澄清(即,較不‘‘混 濁’’),且該底層呈一非常深之藍色。
使用一洗瓶,以25mL·以上之蒸餾水向下清洗該燒瓶, 攪拌速度增加以產生使兩液相充份接觸之劇烈混合。於緊 接終點之則在各逐滴加入滴定液之後間隔至少丨〇秒鐘,經 常停止攪拌以便讓兩相分離,使得分析者可以觀察這些顏 色之變化且接著再劇烈攪拌。在終點時,該底部相喪失所 有的顏色且顯現無色或乳白色外觀,而頂部相則呈深藍 色。3己錄滴定後之體積且精確至〇 〇lniL,該標準CTAB溶 液之滴定係至少進行兩次(滴定液體積之差必須在〇 〇5mL 以内)且記錄每次滴定所使用之標準Aer〇s〇1⑧〇丁溶液之平 均體積,Vi。 稱出一 200M1之寬口玻璃瓶之空重且將大約〇·5〇〇克之 氧化矽樣品(在原本收到之狀態,未乾燥)放入該瓶中加以 稱重且精確至〇.lmg,記下這氧化矽樣品重量,S。使用一 50mL之吸管將一百亳升之標準CTAB溶液滴入該瓶中,充 滿且輸送兩次;並且小心地放入一攪拌棒。該瓶口以鋁箔 覆蓋’並且該等内容物輕微地攪拌15分鐘且不調整pH ,使 用一 PH電極,用1N逐滴加入之Na〇H水溶液將該pH調整至 9·〇至9.5之間。當該pH已穩定在9·〇至95之間時,該瓶口再 次以紹羯或等效物覆蓋以減少蒸發損失。在?119 〇至9 5之 間時輕微攪拌該混合物一個小時,將該氧化矽—液體混合物 轉移至離心管,並且使該混合物離心3〇分鐘以產生一清澈 之離心液。接著使用一吸管小心地抽出該離心液並將之轉 本紙張尺度適用中國國家標準(CNS ) Α4規格(2丨0乂297公釐) (請先閱讀背面之注意事項再填寫本頁) --. 1Τ -39- 1245789 經濟部口芡局員工消費合作社印製 智慧財產局 A7 B7 五、發明説明(37) 私至小、乾燥之玻璃瓶中。使用一吸管,將1 0mL之離心 液轉送至一具有一攪拌棒之25〇mL錐形燒瓶中。然後,將 30mL氣仿、50mL蒸餾水、及15滴之0 02%溴酚藍水溶液指 不劑溶液加入該燒瓶中。使用相同之步驟,以來自一 5〇mL 滴定管之標準Aerosol® 0T溶液滴定該錐形燒瓶之内容物 且到達使用在該標準CTAB溶液中之相同終點。記錄所使 用之標準Aerosol® 〇Τ溶液之體積,%且精確至〇〇lmL。 在一真空爐中以l〇5°C加熱一小玻璃瓶與蓋至少3〇分 鐘,稱重該瓶與蓋且精確至毫克(mg),在此作為空重使 用。將大約1克之氧化矽樣品加入該瓶中,再將該蓋放在該 瓶上’並且δ己錄它們的總重量且精確至〇. 1 mg。取下該蓋 且在一真空爐中以105°C加熱裝有樣品之瓶與蓋3〇分鐘,在 導入真空後,再繼續加熱30分鐘,接著該瓶與蓋被放在一 乾無器中冷卻’記錄裝有樣品之瓶之重量且精確至 O.lmg。將在加熱前以克表示之氧化矽之重量,a,及在加 熱後以克表示之氧化矽之重量,B,減去該空重。 以m2/g表示之該CTAB表面積(乾燥質),Actab,係依 據下列公式計算: (Vi - V2) (C) (A) (28.92)
Actab =............................... (Vi) (S) (B) 所有對1英忖圓盤之實驗係在一 Struers Labof〇ree 3-labopol 5半敞開式CMP工具上進行,將一 R〇del, IC1400-A3硬墊嵌入該工具,基材係由Kamis公司取得之單 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1Τ -40-
1245789 一組成圓盤’該等圓盤所測得之直徑為23.75mm且高度為 6mm。所有對6英吋粗胚晶圓之實驗係在一 IPEC/Westech 372撒光機上進行,將一R〇del,ic14〇〇_A3硬墊嵌入該拋光 枝基材係由Montco Silicon Technologies公司取得之6英 叶粗胚晶圓,其測得之直徑為l5〇mni英吋。在所有實驗中, 在Struers Laboforce 3-labopol 5半敞開式CMP工具上之流 量設定為60ml/min,或在IPEC/Westech 372拋光機上為 120ml/min。在所有實驗中之漿液之?11為6 〇,以奈米每分 鐘表不之材料移除速度(MMR)依據以質量損失來計算,其 根據: MRR = A]vi*i〇_7/(p*3 i4*r2*T) △M…質量變化,(克) r…圓盤或晶圓之半徑,(公分) T…拋光時間,(分鐘) P…基材之密度,(克/立方公分)。 實施例1 步驟00-SDH-1451,氧化矽係藉由在一 15〇升有攪拌之 槽式反應器中批式沈殿來製備。水(75升)被加熱至2〇5卞, 且加入矽酸鉀水溶液(1.2升,1〇9 5gmK2〇/升),這攪拌溶 液被中和至_.5。在5分鐘後,將石夕酸卸水溶液⑴.7升) 與濃硫酸(1.99升)同時加入並經過45分鐘,將所得之聚液 在205T於PH8.5中再攪拌8〇分鐘,然後以硫酸酸化至 pH4.2。將所得之遽餅放在一圓筒中,且_與來自實施例 2之產物混合。 本紙張尺度顧悄國家標準(CNS ) A4規格(210><297公潑了 I — (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部口 局員工消費合作社印製 智慧財產局 -41- 1245789 Α7
經濟部ID央標率号貝工消費合作社印裝 .智慧財產局 實施例2 一第二批次之濾餅係依據在實施例1中之步驟來製 備,來自實施例1之濾餅產物係與此實施例中之濾餅混合。 以低剪力混合器用水將這混合之濾餅之一部份液化 成12重量百分比固體,所得之液化濾餅係被調整pH為6·3 且被喷硌乾燥以產生具有一重量百分比水份3 7〇之白色粉 末。這粉末之分析顯示出以下性質:ΒΕΤ氮(5點).931112/2 ; CTAB93m2/g ;每 1〇〇§111無水粉末 245m,苯二甲酸二丁 酯。由這些資料可計算出平均主要顆粒直徑為29奈米,計 舁出之表面粗链度為1.〇。 顆粒尺寸減少程序係使用一具有多數氧化鋁反應器(6 反應器’ 1mm ID)之長形室及交錯uhMWPE密封物(2.6mm ID)的雙噴射流室來進行,水被加壓通過兩 喷嘴(0.1mm ID與0.13mm ID)以產生由相反方向進入該長 形室之水噴射流。噴霧乾燥之粉末(813_958)之一部份被導 入這雙噴射流室及該優勢水喷射流(來自〇13mm ID之噴嘴) 與该長形室之間。該漿液流出物係由這雙喷射流室經由一 在該劣勢水喷射流(來自0.丨mm id之喷嘴)與該長形室之間 之孔在大氣壓下釋出。這未改質氧化矽之漿液(BXR_7U, 813-968)具有9·16重量百分比固體,且該顆粒尺寸之特.性 係藉雷射光散射顯現如下:平均〇.218微米;中等〇179微 米;且10體積百分比大於〇·421微米。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X 297公釐) 丨 — (請先閱讀背面之注意事項再填寫本頁) 訂 JI. -42- 1245789 A7 B7
五、發明説明(4(J 實施例3 來自實施例1與實施例2之混合濾餅之另一部份濾餅 (17.97公斤)係如同在實施例2中所述一般地被液化,再將 這液化之濾餅加熱至由65°C至85。(:範圍之溫度且在被加入 一反應谷器之前同時與二甲基二氯矽烷(〇 〇9公斤)及%重 I百分比硫酸(2.22公斤)在一直線型混合器中混合。在該 反應容器中硬化約1 5分鐘後,以45重量百分比之氫氧化鉀 水/谷液將pH調整至大約4.0。過濾所得之表面改質氧化石夕之 懸浮水溶液並以水加以清洗,所得之濾餅係藉高剪力液 化,且以氫氧化銨調整pHS6.3,並且這漿液之一部份被喷 霧乾爍以產生一具有3.10重量百分比之水份且具有〇·29重 量百分比之碳的白色粉末。 經濟部口央量.為員工消費合作社印製 智慧財產局 (請先閲讀背面之注意事項再填寫本頁} 顆粒尺寸減少程序係使用一具有多數氧化鋁反應器(6 反應器’ 1mm ID)之長形室及交錯UHMWPE密封物(2.6mm ID)的雙喷射流室來進行,水被加壓(45,〇〇〇psig)且通過兩 喷嘴(0.1mm ID與0.13mm ID)以產生由相反方向進入該長 形室之水噴射流。噴霧乾燥之粉末(813_959)之一部份被導 入這雙喷射流室及該優勢水喷射流(來自0·13ηιηα ID之喷嘴) 與該長形室之間。該漿液流出物係由這雙喷射流室經由一 在该劣勢水喷射流(來自〇· 1 mm ID之喷嘴)與該長形室之間 之孔在大氣壓下釋出。這未改質氧化矽之漿液(BXR_712, 813-971)具有8.43重量百分比固體,且該顆粒尺寸之特性 係藉雷射光散射顯現如下··平均0.223微米;中等0.1 84微 米;且10體積百分比大於0.429微米。 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X Μ公釐) -43- A7 1245789 五、發明説明(41) 實施例4 (請先閱讀背面之注意事項再填寫本頁) 來自實施例1與實施例2之混合濾餅之另一部份濾餅 (12.42公斤)係如同在實施例2中所述一般地被液化,再將 這液化之濾餅加熱至由65它至85。〇範圍之溫度且在被加入 -容器之前同時與二甲基二氯石夕烧(〇()9公斤)及96重量百 分比硫酸(1.48公斤)在一直線型混合器中混合。在硬化約 15为4里後,以45重s百分比之氫氧化鉀水溶液將調整至 大約4.0。過濾所得之經處理氧化矽之懸浮水溶液並以水加 以清洗,所得之濾餅係藉高剪力液化,且以氫氧化銨調整 pH至6.3,並且這漿液之一部份被喷霧乾燥以產生一具有 7.80重量百分比之水份且具有〇·79重量百分比之碳的白色 粉末(813-960)。 經濟部口芡標缂今貝工消費合作社印製 ,智慧財產局 顆粒尺寸減少程序係使用一具有多數氧化鋁反應器(6 反應器’ 1mm ID)之長形室及交錯UHMWPE密封物(2.6mm ID)的雙噴射流室來進行,水被加壓(45,〇〇〇psig)且通過兩 喷嘴(0.1mm ID與〇.13mm ID)以產生由相反方向進入該長 形至之水噴射流。喷霧乾燥之粉末(813-96〇)之一部份被導 入這雙喷射流室及該優勢水噴射流(來自〇13inin ID之喷嘴) 與該長形室之間。該漿液流出物係由這雙噴射流室經由一 在邊劣勢水喷射流(來自〇 lmm ID之喷嘴)與該長形室之間 之孔在大氣壓下釋出。這漿液(BXR_713,813_972)具有686 重量百分比固體,且該顆粒尺寸之特性係藉雷射光散射顯 現如下:平均2.127微米;中等0.278微米;且10體積百分 i紙張尺度適用中國國^i^CNS ) 讀 -:-- -44- 1245789 A7 B7 五、發明説明( 42' 比大於6.984微米。 實施例5 氧化石夕係藉由在一 1 50升有攪拌之槽式反應器中批式 沈澱來製備。水(75升)被加熱至205T,且加入矽酸鉀水溶 液(1.2升,l〇9.5gmK20/升),這攪拌溶液被中和至pH8 5。 在5分鐘後,將矽酸鉀水溶液(31·7升)與濃硫酸(1.99升)同 時加入並經過45分鐘,再加入氫氧化鉀水溶液(45重量百分 比,3000g)。將所得之漿液在2〇5卞於ρΗ8·5中再擾拌80分 鐘,然後以硫酸酸化至ΡΗ4·2。過濾產物漿液並且加以水 洗,再將所得之濾餅放在一圓筒中,且稍後與來自實施例5 之產物混合。 經濟·受標.導^^貝工消費合作社印製 智慧財產局 實施例6 一第二批次之濾餅係依據在實施例4中之步驟來 備,來自實施例4之濾餅產物係與此實施例中之濾餅混合 以-低剪力混合器用水將這混合之濾餅之一部份液化 成12重量百分比固體,這漿液之—部份係被調整仲為63 且被噴霧乾燥以產生具有-重量百分比水份77(>之白色粉 末。這粉末之分析顯示出以下性質:ΒΕΤ氮(5點)98m2/g; CTAB71m2/g ;每100抑無水粉末251加鄰笨二曱於二丁 醋。由這些資料可計算出平均主要顆粒直徑為38奈二一 算出之表面粗糙度為1.4。 顆粒尺寸減少程序係使用一具有多數氧化銘反應 Ϊ紙張尺度適用中國國家標準iCNS ---__ 製 計 器(6 -45- 1245789 A7 B7 五、發明説明( 反應器’ 111111110)之長形室及交錯1;1^\\^^密封物(2.6111111 ID)的雙喷射流室來進行,水被加壓(“,⑻帅以心且通過兩 喷嘴(0.1mm ID與0.13mm ID)以產生由相反方向進入該長 形至之水噴射流。喷霧乾燥之粉末(813_962)之一部份被導 入這雙喷射流室及該優勢水喷射流(來 自0.13mm ID之噴嘴) 與該長形室之間。該漿液流出物係由這雙喷射流室經由一 在該劣勢水喷射流(來自〇.lmm ID之喷嘴)與該長形室之間 之孔在大氣壓下釋出。這未改質氧化矽之漿液(BXR_714, 813-967)具有8.43重量百分比固體,且該顆粒尺寸之特性 係藉雷射光散射顯現如下:平均〇·218微米;中等〇173微 米;且10體積百分比大於0.428微米。 I #! (請先閱讀背面之注意事項再填寫本頁) 訂 實施例7 · 來自實施例4與實施例5之混合濾餅之另一部份濾餅 (17.10公斤)係如同在實施例5中所述一般地被液化,再將 這液化之濾餅加熱至由65°C至85°C範圍之溫度且在被加入 一反應容器之前同時與二甲基二氯矽烷(〇〇8公斤)及96重 量百分比硫酸(2·23公斤)在一直線型混合器中混合。在該 反應容器中硬化約1 5分鐘後,以45重量百分比之氫氧化钟 水溶液將pH調整至大約4.0。過濾所得之表面改質氧化石夕之 懸浮水浴液並以水加以清洗,所得之濾餅係使用·一 CawlesTM刀片藉高剪力液化,且以氫氧化銨調整pH至6.3, 並且這漿液之一部份被喷霧乾燥以產生一具有8·1〇重量百 为比之水份且具有0.29重量百分比之碳的白色粉末 本紙張尺度適用中€國家標準(CNS ) A4規格(210X^97公釐)— ' " .— · 經濟部口央標準爲員工消費合作社印製 智慧財產局 -46- 1245789 經濟部U^R:掉準局員工消費合作社印製 智慧財產局 A7 B7 五、發明説明(j (813-963) 〇 顆粒尺寸減少程序係使用一具有多數氧化鋁反應器(6 反應态,111111110)之長形室及交錯1111%〜1>]5密封物(26111111 ID)的雙喷射流室來進行,水被加壓(45,〇〇〇ρδ^)且通過兩 喷嘴(0.1mm ID與0.l3mm iD)以產生由相反方向進入該長 形室之水噴射流。喷霧乾燥之粉末(813_963)之一部份被導 入這雙喷射流室及該優勢水噴射流(來自〇13mm ID之喷嘴) 與该長形室之間。該漿液流出物係由這雙噴射流室經由一 在该劣勢水喷射流(來自〇.lmm ID之噴嘴)與該長形室之間 之孔在大氣壓下釋出。這未改質氧化矽之漿液(BXR_715, 813-969)具有8.54重量百分比固體,且該顆粒尺寸之特性 係藉雷射光散射顯現如下:平均4152微米;中等〇.462微 米;且10體積百分比大於15.22微米。 實施例8 來自實施例4與實施例5之混合濾餅之另一部份濾餅 (12 · 4 5公斤)係如同在實施例5中所述一般地被液化,再將 這液化之濾餅加熱至由6 51至8 5 °C範圍之溫度且在被加入 一容器之前同時與二甲基二氣矽烷(〇·07公斤)及96重量百 分比硫酸(1.59公斤)在一直線型混合器中混合。在硬化約 1 5分鐘後,以45重量百分比之氫氧化鉀水溶液將pH調整·至 大約4.0。過濾所得之表面改質氧化矽之懸浮水溶液並以水 加以清洗,所得之濾餅係藉高剪力液化,且以氫氧化銨調 整pH至6.3,並且這漿液之一部份被喷霧乾燥以產生一具有 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) '— 丨 -- (請先閱讀背面之注意事項再填寫本頁) :訂 -47- 1245789 A7 -------—_B7 五、發明説明() — 45; 6.50重里百分比之水份且具有〇 26重量百分比之碳的白色 粉末。 顆粒尺寸減少程序係使用一具有多數氧化鋁反應器(6 反應态,lmmID)之長形室及交錯UHMWPE密封物(2.6mm ID)的雙噴射流室來進行,水被加壓(45,〇⑻pdg)且通過兩 喷嘴(0.1mm ID與〇.13mm ID)以產生由相反方向進入該長 形室之水噴射流。噴霧乾燥之粉末(813-964)之一部份被導 入這雙喷射流室及該優勢水喷射流(來自〇·丨3nim ID之喷嘴) 與该長形室之間。該漿液流出物係由這雙喷射流室經由一 在忒劣勢水噴射流(來自〇lmm ID之喷嘴)與該長形室之間 之孔在大氣壓下釋出。這漿液(BXR-716, 813_97〇)具有7.98 重量百分比固體,且該顆粒尺寸之特性係藉雷射光散射顯 現如下:.平均〇·251微米;中等〇188微米;且1〇體積百分 比大於0.482微米。 實施例9 經濟、fT央量-局負工消費合作社印製 智慧財產局 百 至 (請先閱讀背面之注意事項再填寫本頁〕 來自實施例4與實施例5之混合濾餅之另一部份濾餅 (16.93公斤)係如同在實施例5中所述一般地被液化,再將 這液化之濾餅加熱至由65。〇至85t:範圍之溫度且在被加入 一容器之前同時與二曱基二氯矽烷(〇16公斤)及96重量 分比硫酸(2.20公斤)在一直線型混合器中混合。在硬化 1 5刀叙後,以45重1百分比之氫氧化钾水溶液將調整 大約4.0。過濾所得之經處理氧化矽之懸浮水溶液並以水加 以清洗,所得之濾餅係藉高剪力液化,且以氫氧化銨調整 -48- 1245789 A7 - ——— --------------------- I ··_——_______ Β 7 五、發明説明() ~~' 一 -- 46 pH至6.3,並且這漿液之一部份被喷霧乾燥以產生一具有 6.20重量百分比之水份且具有〇.78重量百分比之碳的白色 粉末。齡尺寸減少程序係使用一具有多數氧化紹反應器 (6反應σσ lmni ID)之長形室及交錯UHMWpE密封物 (2.6mmID)的雙喷射流室來進行,水被加壓(45,__)且 通過兩喷嘴((Mmm ID與0.13mm ID)以產生由相反方向進 入該長形室之水喷射流。噴霧乾燥之粉末(8U-965)之一部 份被導入這雙喷射流室及該優勢水喷射流(來自〇i3mmiD 之喷嘴)與該長形室之間。該漿液流出物係由這雙喷射流室 經由一在該劣勢水喷射流(來自〇lmm m之喷嘴)與該長形 室之間之孔在大氣壓下釋出。這表面改質氧化矽之漿液 (BXR-717 ’ 813-966)具有8.17重量百分比固體,且該顆粒 尺寸之特性係藉雷射光散射顯現如下:平均〇 779微米;中 等0.283微米,且10體積百分比大於2·4〇〇微。 比較例: 比較例10 經濟部-口芡^^^^貝工消費合作社印製 ,智慧財產局 用以拋光銅與鈕1英吋圓盤之來自實施例2中之未改質 氧化矽之漿液與來自實施例3與實施例4之表面改質氧化矽 之漿液比較,來自各實施例之漿液被稀釋以製備用以評價 由在去離子水中3重量百分比之氧化矽構成之漿液。對於來 自各貫ίβ例之漿液’拋光係在7.2psig,150RPM,與 60mL/min之漿液流量之條件下進行。在對各金屬圓盤拋光 4.5分鐘後測量重量損失,且其結果顯示於表1中: 本紙張又度適用中國國豕標準(CNS ) A4規格(210 X 297公釐) ' ~ ~ " -49- 1245789 A7 B7 五、發明説明(47) 表1 取用漿液之例號 MRR,nm/min Cu Ta 2 0 59 3 6 59 4 18 46 在對各金屬圓盤拋光9.0分鐘後測量重量損失,且其結果顯 示於表2中: 表2 取用漿液之例號 MRR,nm/min Cu Ta 2 9 53 3 8 52 4 8 55 (請先閱讀背面之注意事項再填寫本頁) 經濟部占夬標.準辱貝工消費合作社印製 智慧財產局 這些資料顯示鈕:銅移除速度選擇性對在水中之來自 未改質氧化矽與表面改質氧化矽兩者是高的,對4 5分鐘而 言之資料似乎顯示該銅移除速度會受到表面改質之程度的影響。 比較例11 用以拋光銅與鈕1英吋圓盤之來自實施例2中之未改質 氧化矽之漿液與來自實施例3與實施例4之表面改質氧化石夕 之漿液比較,來自各實施例之漿液被稀釋以製備用以評價 由在去離子水中3重量百分比之氧化矽與3重量百分比之過 氧化氫構成之漿液。對於來自各實施例之漿液,抛光係在 7.2psig,1 50RPM,與60mL/min之漿液流量之條件下進行 在對各金屬圓盤拋光4.5分鐘後測量重量損失,且其#果顯 示於表3中: 本紙張尺度適用中國國家標準(CNS )八4規格(別/所公釐) -50- 1245789 五、發明説明( A7 B7 表3 取用漿液之例號 MRR, nm/min Cu Ta 2 32 31 ' 3 16 27 4 20 28 在對各金屬圓盤拋光9.0分鐘後測量重量損失,且其#果 示於表4中: 顯 表4 取用漿液之例號 MRR, nm/min Cu Ta 2 24 27 〜 3 20 22 — 4 20 20 〜 (請先閱讀背面之注意事項再填寫本頁} 訂· 這些資料顯示组:銅移除速度選擇性對在含水之過氧 化氫中之來自未改質氧化石夕與表面改質氧化矽兩者是= 的’該資料似乎顯示在較短之抛光時間時,該銅移除速度 會受到表面改質之程度的影響。 比較例12 比較用以拋光銅、姐與一氧化石夕6英对外層塗覆晶圓 經濟部D夹榉準令貝工消費合作社印製 智慧財產局 來自實施例3與實施例4之表面改質氧化矽漿液的漿液,來 自各實施例之漿液被稀釋以製備用以評價由在去離子水中 3重量百分比之氧化石夕與3重量百分比之過氧化氫構成之漿 液。對於來自各實施例之漿液,拋光係在5psig,75RpM, 與120mL/min之漿液流量之條件下進行。在對各銅與鈕晶 圓拋光3分鐘後測量重量損失,因為重量損失極少,故在對 各氧化物晶圓拋光9分鐘後測量重量損失。其結果顯示於表 本紙張尺度適用中國國家標準(CNS ) A4規格(210>T^^JT —--- -51- A7 B7 1245789 五、發明説明(d 5中: 表5 取用漿 液之例 號 Cu晶圓之MRR Ta晶圓之MRR 氧化物晶 圓之MRR 拋光T, min MRR, nm/min 抛光τ, min MRR, nm.min 拋光T, min MRR, nm/min 3 3 32 3 41 9 14 ~4 3 ~45~ 3 35 9 0 (請先閱讀背面之注意事項再填寫本頁) 這些資料顯示當鈕:銅移除速度選擇性低時,該金屬: 二氧化矽移除速度則是高的。這些資料更顯示氧化物移除 速度隨著在該漿液中之氧化矽成份之表面改質程度之增加 而減少,為了防止在該鈕障壁由一具有圖案之互連部份上 移除後腐蝕ILD,高金屬:二氧化矽選擇性是必要的。該 叙與氧化物晶圓之表面品質係在後CMP清潔處理之後藉由 目視檢查在一來自 Burleigh Instruments(Victor,NY)之 經濟部夹$局員工消費合作社印製 智慧財產局
Horizon非接觸式光學表面粗度測量計上所產生之影像來 檢查。這設備使用相位移干涉技術來提供一對該表面之由 次奈米至微米之粗糙度的非接觸式三度空間測量,當以來 自實施例3與4之漿液進行拋光時,該等鈕晶圓在品質上並 沒有明顯不同,但是該等二氧化矽晶圓在表面品質上的確 顯現出明顯不同。以由包含來自實施例3之氧化矽之漿液來 拋光之晶圓係明顯地比以由包含來自實施例4之氧化矽之 漿液來拋光之晶圓更粗糙,這些晶圓顯示留在該晶圓上之 殘餘氧化矽之量藉由增加在該漿液中之氧化矽成份之表面 改質之程度而明顯地減少。 比較例13 一準 -標 家 國 國 中 用 適 釐 公 -52- A7 1245789 五、發明説明() 50 用以拋光銅1英吋圓盤之來自實施例5中之未改質氧化 矽之漿液與來自實施例6、7、8之表面改質氧化矽之漿液比 較,來自各實施例之漿液被稀釋以製備用以評價由在去離 子水中3重i百分比之氧化石夕、5重量百分比之過氧化氫、 與1重量百分比之甘氨酸構成之漿液。對於來自各實施例之 漿液,拋光係在一變化壓力,15〇RPM,與4〇mL/min之漿 液流量之條件下進行。在各壓力下拋光3〇分鐘後測量重量 損失’且其結果顯示於表6中: 這些資料顯不來自未改質氧化矽(實施例6)與表面改 質氧化矽(實施例7、8與9)兩者之漿液對〇11產生大致相同之 普雷斯頓反應,這些結果是可預期的,因為在這實施例中 之銅CMP是與漿液配方相關的,且該氧化矽表面改質係相 當不重要的。 用以拋光鈕6英吋外層晶圓之來自實施例6之未改質氧 化矽之漿液與來自實施例7之表面改質氧化矽漿液比較,來 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐T~~ --- (請先閱讀背面之注意事項再填寫本頁) 表6 取用漿液 之例號 6 7 8 9 壓力PSI 銅移除速度(A/min) 3.6 384 320 329 323 5.5 439 384 3 66 357 7.1 494 448 421 393 8.9 549 521 476 439 10.7 ^622 613 577 494 12.5 769 695 656 567 14.3 888 805 732 640
、1T 經濟部_tl央標绛養貝工消費合作社印製 •智慧財產局 -53- A7 B7 5Ϊ 經濟f 央標傘鲁貝工消費合作社印製 智慧財產局 1245789 五、發明説明( 自各實施例之漿液被稀釋掣 衣備用以評價由在去離子水中 里百为比之氧化秒構成之蔣 风之歲液。對於來自各實施例之漿 液’拋光係在變化之壓力, 力50RpM,與120mL/min之漿液 流量之條件下進行。釦臌戶 、一 M尽之改變係在對各鈕晶圓進行拋 光3分鐘後藉由使用一 4點式探針來測量。 薄至屬膜之厚度係藉由使用四點式探針來測量,它是 由四個在同—直線上之探針構成,-固定電流通過兩外侧 探針之間且在兩内侧探針間之電位使用—伏特計加以記 錄。由該電流(I)與電壓佶,# ^ t 值该膜之片電阻(Rs)可以依據 下列公式來計算:
Rs = V X/I /、中X疋加入違公式中之一修正因子,因為在該(等)探針 間之距離⑷小於該試樣之直徑⑷甚多,π,s<<d。在這實 施例中,s«d,該修正因子是4·532。因此,該膜厚,t, 可以依據下列公式計算: τ = p/ Rs 該膜之厚度係在該晶圓上之17個不同點、該中心點、 在距離该中心點3公分處的8個點(等距分開)及在距離該中 心點6公分處的8個點(等距分開)處測量,該膜厚度係在這 17個不同點處拋光之前或之後測量,使得該拋光速度可以 在所有這些點處被計算出來。將在17個點處所得之拋光速 度加以平均。 其結果顯示於表7中: 表7 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公瘦) (請先閱讀背面之注意事項再填寫本頁)
-54- 1245789 A7 B7 五、發明説明( 取用漿 液之例 號 6 7 - 壓力 MRR (A/mhi) 3.0 _ 48 3T ~ 4.0 81 40 ~ 4.5 V2 47 5^0 134 58 ' 5.5 136 91 ~ 6.0 175 145 一 :例6之未改質氧切所製備之漿液具有普雷斯頓行為,十 是來自貫例7之未改質氧化矽之漿液並沒有依循普雷其 頓仃為。在大約5pSig以下,鈕移除速度落後在該含有未氏 λ氧化矽漿液之鈕移除速度以下,而在大約5psig以上,, 施例7之漿液之鈕移除速度快速地上升,且在6psig附近爲 乎接近來自實施例6之移除速度。 來自實施例6與7之漿液之相對行為係再由第3圖中戈 圖來顯示。 第3圖 比較例14之資料的圖’以來自實施例6之未改質氧化矽漿 經濟、部口受椁準令貝工消費合作社印製 智慧財產局 來抛光组之材料移除速度(MRR)隨著拋光壓力而直線地增 加’且與該普雷斯頓方程式一致。以來自實施例7之表面改 質氧化石夕漿液來拋光钽之MRR並未隨著拋光壓力而直線 地增加’且與該普雷斯頓方程式不一致。來自實施例7之漿 液之MRR在低壓時落後,但在大約5psig時快速地增加。 圖式之簡單說明 本紙張尺度適用中國@家標準(CNS ) Μ規格(21〇'乂297公瘦) -55- 1245789 A7 B7 五、發明説明(53) 第1圖係顯示一以表面改質氧化矽進行障壁移除之CMP製 程的圖。 第2圖係顯示氧化矽研磨顆粒藉由以二甲基矽烷醇基處理 氧化矽矽烷醇而被部份地改質。 第3圖係比較例14之資料的圖。 (請先閱讀背面之注意事項再填寫本頁) 經濟部·tp央榉導令貝工消費合作社印製 智慧財產局 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -56-

Claims (1)

1245789 AS B8 C8 D8 、申請專利範圍 Ί用於拋光微電子基材之漿液,該漿液包含表面改赛 乳化石夕,其中該表面改質氧化石夕包含—種具有主要顆救 之集合體的氧化石夕,該集合體之尺寸小於五⑺微米,且 其中該氧化石夕具有每平方奈米之表面積上為等於或多 於7個氫氧基之氫氧基含量。 2·如申請專利範圍第丨項之漿液,Α ,、甲5亥表面改質氧化石夕 包含有一種經以選自一有播其 有機基有機金屬基或其混合 物中之至少一物質加以改質的氡化矽。 於一(1)微米之集合尺寸。 其中該集合體具有一4 其中該表面改質氧化夺 4·如申請專利範圍第1項之漿液 於半(〇·5)微米之凝聚尺寸。 5·如申請專利範圍第2項之漿液…% 包含一種經以有機矽烷改質的氧化矽。 6.如申請專利範圍第5項之黎液,其中該表面改質氧化石 包含一種經以二甲基二氯矽烷改質的氧化矽。 7·如申請專·圍第旧之漿液,其中該漿液提供一種; 經濟部智慧財產局員工消費合作社印製 .氧化矽的移除性 其中组相對於二氧化> 其中组相對於鋼之移| 其中該表面改質氧化> 自該微電子基材移除銅、钽及 8·如申請專利範圍第7項之漿液 之移除速率的比率係大於2。 9.如申請專利範圍第7項之漿液 速率的比率係至少1。 10·如申請專利範圍第1項之漿液 包含有沉澱氧化矽。 本紙張尺度適用中國國家標準(CNS)A4·規格(21〇 x 297公爱) 1 一 -57- 經濟部智慧財產局員工消費合作社印製 !245789 申請專利範圍
女申明專利範圍第10項之漿液,其中該沉澱氧化矽包含 有集合體,該集合體可降低至小於五(5)微米之集合尺寸 12·如申請專利範圍第u項之漿液,其中該集合體可以藉著 濕式研磨方法降低其集合尺寸。 曰 13·如申請專利範圍第丨項之漿液,其中該表㈣質氧化石夕 包含有該氧化石夕及至少一種共價鍵結於該氧化石夕的物 質。 14·-種用於自微電子基材移除銅、组及二氧化秒的聚液, 該漿液包含表面改質氧化矽,其中該表面改質氧化矽更 包含-種氧切’該氧化梦包含有每平方奈米之表面積 上為等於或多於7個氫氧基的氫氧基含量。 15·如申請專利範圍第14項之漿液,其中該氧化石夕包含有主 要顆粒的集合體。 16·如申請專利範圍第!5項之漿液,其中該集合體具有小於 五(5)微米的集合尺寸。 17. 如申請專利範圍第15項之漿液,其中該集合體具有小於 一(1)微米的集合尺寸。 18. 如申請專利範圍第15項之漿液,其中該集合體具有小於 半(〇·5)微米的集合尺寸。 19·如申請專利範圍第16項之漿液,其中該氧化矽更包含有 母奈米平方之表面積上為等於或多於10個氫氧基之氫 氣基含量。 2〇·如申請專利範圍第14項之漿液,其中該表面改質氧化石夕 包含有一種經以選自一有機基、一有機金屬基或其混合 本紙張家標準(cns)A4~^ (2‘ 297公釐)
-58-
、申請專利範圍 1245789 物中之一物質加以改質的氧化矽。 &如申請專利範圍⑽項之漿液,其中該表面改質氧化石夕 包含一種經以有機矽烷改質的氧化石夕。 Μ.如申請專利範圍第14項之漿液,其中該表面改質氧化石夕 。各種經以二甲基二氯石夕燒改質的氧化石夕。 23. 如申請專利範圍第14項之漿液,其中該聚液提供一種可 自該微電子基材移除銅、组及二氧化梦的移除性。 24. 如申請專利範圍第23項之渡液,其中组相對於二氧化石夕 之移除速率的比率係大於2。 25. 如申請專利频第23項之漿液,其中组相對於銅之移除 速率的比率係至少1。 26. 如申請專利範圍第14項之漿液,其中該表錢質氧化石夕 包含有沉澱氧化矽。 A-種用於自微電子基材移除銅、组及二氧切的聚液, «液包含表面改質氧切,其中當拋顧力基本上呈 定速增加時,组之移除速率基本上呈非線性地增加,且 其:該表面改質氧切更包含有氧切,該氧切包含 :每平方奈米之表面積上為等於或多於7個氫氧基之氫 氧基含量。 28.-種用於自微電子基材移除選自銅、麵及二氧化石夕中至 少-物質的漿液’該漿液包含有經由一化學部分 質的氧化矽,以產生一非普雷斯頓式之移除速率,且其 中該氧化矽更包含有每平方奈米之表 = 多於7個氫氧基之氫氧基含量。 4專於或
-59- 1245789 A8 B8 C8 D8 申請專利範圍 29.-種用於拋光微電子基材的方法,係包含將—包含有表 面改質氧化⑦之漿液施用至該基材上,其中該表面 氧化石夕包含-種氧切,該氧切包含有Μ㈣q 合體,該集合體具有小於五(5)微米之尺寸,且其中节二 化矽具有每平:奈米之表面積上為等於或多於7個= 基之氫氧基含量。 --------·_-----裝--------:訂·.-------- (請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -60-
TW091112920A 2001-06-14 2002-06-13 A silica-based slurry TWI245789B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/882,548 US6656241B1 (en) 2001-06-14 2001-06-14 Silica-based slurry

Publications (1)

Publication Number Publication Date
TWI245789B true TWI245789B (en) 2005-12-21

Family

ID=25380821

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091112920A TWI245789B (en) 2001-06-14 2002-06-13 A silica-based slurry

Country Status (7)

Country Link
US (1) US6656241B1 (zh)
EP (1) EP1397452A1 (zh)
JP (2) JP2004534396A (zh)
KR (1) KR100572453B1 (zh)
CN (1) CN1289619C (zh)
TW (1) TWI245789B (zh)
WO (1) WO2002102910A1 (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
KR100535074B1 (ko) * 2001-06-26 2005-12-07 주식회사 하이닉스반도체 루테늄의 화학 기계적 연마용 슬러리 및 이를 이용한연마공정
TW200300168A (en) 2001-10-31 2003-05-16 Hitachi Chemical Co Ltd Polishing fluid and polishing method
US7677956B2 (en) * 2002-05-10 2010-03-16 Cabot Microelectronics Corporation Compositions and methods for dielectric CMP
US7985392B2 (en) * 2002-07-10 2011-07-26 Tokuyama Corporation Cake of easily dispersible precipitated silica
US20070010169A1 (en) * 2002-09-25 2007-01-11 Ppg Industries Ohio, Inc. Polishing pad with window for planarization
AU2003295914A1 (en) * 2002-11-27 2004-06-23 Nanoproducts Corporation Nano-engineered inks, methods for their manufacture and their applications
DE10304849A1 (de) * 2003-02-06 2004-08-19 Institut für Neue Materialien gemeinnützige Gesellschaft mit beschränkter Haftung Chemomechanische Herstellung von Funktionskolloiden
US7044836B2 (en) * 2003-04-21 2006-05-16 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
US6784093B1 (en) * 2003-06-27 2004-08-31 Texas Instruments Incorporated Copper surface passivation during semiconductor manufacturing
US20050032464A1 (en) * 2003-08-07 2005-02-10 Swisher Robert G. Polishing pad having edge surface treatment
US20070015448A1 (en) * 2003-08-07 2007-01-18 Ppg Industries Ohio, Inc. Polishing pad having edge surface treatment
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
DE102004029074A1 (de) * 2004-06-16 2005-12-29 Degussa Ag Lackformulierung zur Verbesserung der Oberflächeneigenschaften
US7390748B2 (en) * 2004-08-05 2008-06-24 International Business Machines Corporation Method of forming a polishing inhibiting layer using a slurry having an additive
US20070205112A1 (en) * 2004-08-27 2007-09-06 Masako Kodera Polishing apparatus and polishing method
US20070254558A1 (en) * 2004-08-27 2007-11-01 Masako Kodera Polishing Apparatus and Polishing Method
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US7333188B2 (en) * 2004-09-30 2008-02-19 International Business Machines Corporation Method and apparatus for real-time measurement of trace metal concentration in chemical mechanical polishing (CMP) slurry
US20060089095A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20060089094A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20070117497A1 (en) * 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
US7943921B2 (en) 2005-12-16 2011-05-17 Micron Technology, Inc. Phase change current density control structure
US20090209103A1 (en) * 2006-02-03 2009-08-20 Freescale Semiconductor, Inc. Barrier slurry compositions and barrier cmp methods
JP2007214396A (ja) * 2006-02-10 2007-08-23 Fujifilm Corp 金属用研磨液及び化学的機械的研磨方法
CN101220255B (zh) * 2007-01-11 2010-06-30 长兴开发科技股份有限公司 化学机械研磨浆液与化学机械平坦化方法
JP2008235481A (ja) * 2007-03-19 2008-10-02 Nippon Chem Ind Co Ltd 半導体ウエハ研磨用組成物、その製造方法、及び研磨加工方法
JP2009050920A (ja) * 2007-08-23 2009-03-12 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
SG184772A1 (en) * 2007-09-21 2012-10-30 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
US7994057B2 (en) * 2007-09-21 2011-08-09 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
CN102361940B (zh) 2009-01-20 2016-03-02 卡博特公司 包含硅烷改性金属氧化物的组合物
KR20120099448A (ko) 2009-10-28 2012-09-10 다우 코닝 코포레이션 폴리실란-폴리실라잔 코폴리머 및 이들의 제조방법 및 용도
CN102181844B (zh) * 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 清洁装置及清洁方法、薄膜生长反应装置及生长方法
JP2013138053A (ja) * 2011-12-28 2013-07-11 Fujimi Inc 研磨用組成物
CN102585706B (zh) * 2012-01-09 2013-11-20 清华大学 酸性化学机械抛光组合物
WO2015040979A1 (ja) * 2013-09-20 2015-03-26 株式会社フジミインコーポレーテッド 研磨用組成物
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303189B2 (en) * 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6484894B2 (ja) * 2014-03-28 2019-03-20 山口精研工業株式会社 研磨剤組成物、および磁気ディスク基板の研磨方法
WO2015146941A1 (ja) 2014-03-28 2015-10-01 山口精研工業株式会社 研磨剤組成物、および磁気ディスク基板の研磨方法
WO2016004573A1 (en) * 2014-07-08 2016-01-14 Acm Research (Shanghai) Inc. Method for forming metal interconnection
JP6559410B2 (ja) * 2014-09-30 2019-08-14 株式会社フジミインコーポレーテッド 研磨用組成物
KR102379960B1 (ko) * 2015-02-15 2022-03-29 에이씨엠 리서치 (상하이) 인코포레이티드 금속 평탄화 공정의 최적화 방법
US20210171801A1 (en) * 2018-08-03 2021-06-10 Nitta Dupont Incorporated Polishing composition

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1110331A (en) 1912-02-14 1914-09-15 John C Mclean Antislipping device for wheels.
US2786042A (en) 1951-11-23 1957-03-19 Du Pont Process for preparing sols of colloidal particles of reacted amorphous silica and products thereof
US3334062A (en) 1965-03-01 1967-08-01 Dow Corning Process for rendering inorganic powders hydrophobic
US3634288A (en) 1969-04-16 1972-01-11 Nalco Chemical Co Preparation of hydrophobic silica sol for use as antifoaming agent
US4068024A (en) 1970-11-24 1978-01-10 Deutsche Gold- Und Silber-Scheideanstalt Vormals Roessler Process for preparing finely divided hydrophobic oxide particles
US3720532A (en) 1971-04-23 1973-03-13 Grace W R & Co Hydrophobic silica
US3768537A (en) 1972-11-09 1973-10-30 Ppg Industries Inc Tire
CA1046681A (en) 1974-06-25 1979-01-16 Union Carbide Corporation Mercapto-silane coupler-inorganic powder mixtures
DE2513608C2 (de) 1975-03-27 1982-08-05 Degussa Ag, 6000 Frankfurt Verfahren zur Hydrophobierung von Kieselsäuren und Silikaten mit Organosilanen
US4208213A (en) * 1977-10-31 1980-06-17 Deutsche Gold- Und Silber-Scheideanstalt Vormals Roessler Shoe cream polish composition
US4443357A (en) 1981-01-12 1984-04-17 Economics Laboratory, Inc. Hydrophobic silica or silicate, compositions containing the same and methods for making and using the same
US4554147A (en) 1984-04-02 1985-11-19 General Electric Company Method for treating fumed silica
JPS61136909A (ja) 1984-12-04 1986-06-24 Mitsubishi Chem Ind Ltd 無水ケイ酸の水分散液組成物
JPS636062A (ja) 1986-06-25 1988-01-12 Toray Silicone Co Ltd シリカ微粉末の表面改質方法
US5226930A (en) * 1988-06-03 1993-07-13 Monsanto Japan, Ltd. Method for preventing agglomeration of colloidal silica and silicon wafer polishing composition using the same
US5008305A (en) 1989-02-06 1991-04-16 Dow Corning Corporation Treated silica for reinforcing silicone elastomer
US5374434B1 (en) * 1991-11-04 1999-01-19 Creative Products Inc Food release compositions
US5353999A (en) 1993-02-16 1994-10-11 Ppg Industries, Inc. Particulate amorphous precipitated silica
US5736245A (en) 1994-06-17 1998-04-07 Lucent Technologies Inc. Chemical treatment for silica-containing glass surfaces
DE4422912A1 (de) 1994-06-30 1996-01-11 Hoechst Ag Xerogele, Verfahren zu ihrer Herstellung, sowie ihre Verwendung
JP3303544B2 (ja) 1994-07-27 2002-07-22 ソニー株式会社 半導体装置の製造方法および配線層表面研磨用のスラリーおよび配線層表面研磨用のスラリーの製造方法
EP0694576A1 (en) 1994-07-28 1996-01-31 General Electric Company Treating process for precipitated silica fillers
US5720551A (en) 1994-10-28 1998-02-24 Shechter; Tal Forming emulsions
US5911963A (en) 1995-05-12 1999-06-15 Ppg Industries Ohio, Inc. Amorphous precipitated silica having a low proportion of small pores
DE69611653T2 (de) 1995-11-10 2001-05-03 Tokuyama Corp Poliersuspensionen und Verfahren zu ihrer Herstellung
US5958288A (en) 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US5876490A (en) * 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
US5739197A (en) 1996-12-19 1998-04-14 Ppg Industries, Inc. Amorphous precipitated silica characterized by high dispersion in cured organic rubber compositions
US5789514A (en) 1997-02-24 1998-08-04 Dow Corning Corporation Method for preparing hydrophobic silica gels
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5908660A (en) 1997-09-03 1999-06-01 Dow Corning Corporation Method of preparing hydrophobic precipitated silica
US5919298A (en) 1998-01-12 1999-07-06 Dow Corning Corporation Method for preparing hydrophobic fumed silica
US6086669A (en) 1998-04-09 2000-07-11 Ppg Industries Ohio, Inc. Dispersible free flowing particulate silica composition
WO1999064527A1 (en) 1998-06-10 1999-12-16 Rodel Holdings, Inc. Composition and method for polishing in metal cmp
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6443610B1 (en) 1998-12-23 2002-09-03 B.E.E. International Processing product components
KR100447551B1 (ko) 1999-01-18 2004-09-08 가부시끼가이샤 도시바 복합 입자 및 그의 제조 방법, 수계 분산체, 화학 기계연마용 수계 분산체 조성물 및 반도체 장치의 제조 방법
IL147039A0 (en) 1999-07-07 2002-08-14 Cabot Microelectronics Corp Cmp composition containing silane modified abrasive particles
US6736891B1 (en) 1999-08-19 2004-05-18 Ppg Industries Ohio, Inc. Process for producing hydrophobic particulate inorganic oxides
US6503418B2 (en) 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
JP4237439B2 (ja) 1999-12-17 2009-03-11 キャボット マイクロエレクトロニクス コーポレイション 基体の研磨又は平坦化方法
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
DE10060343A1 (de) 2000-12-04 2002-06-06 Bayer Ag Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen

Also Published As

Publication number Publication date
KR20040012938A (ko) 2004-02-11
EP1397452A1 (en) 2004-03-17
JP2008141214A (ja) 2008-06-19
CN1543491A (zh) 2004-11-03
KR100572453B1 (ko) 2006-04-18
US6656241B1 (en) 2003-12-02
CN1289619C (zh) 2006-12-13
WO2002102910A1 (en) 2002-12-27
JP2004534396A (ja) 2004-11-11

Similar Documents

Publication Publication Date Title
TWI245789B (en) A silica-based slurry
CN1849379B (zh) 用于化学机械抛光的磨料颗粒
US7279119B2 (en) Silica and silica-based slurry
TWI343944B (en) Cmp slurry, preparation method thereof and method of polishing substrate using the same
JP3828011B2 (ja) シリカ水性分散液、その製造方法およびその使用
TWI229117B (en) Aqueous dispersion containing cerium oxide-coated silicon powder, process for the production thereof and use
CN101291778B (zh) 氧化铈浆料、氧化铈抛光浆料以及使用其抛光衬底的方法
US20030094593A1 (en) Silica and a silica-based slurry
JP2001139935A (ja) 研磨用組成物
TW200400239A (en) Composition for the chemical mechanical polishing of metal and metal/dielectric structures
WO1999008838A1 (en) Chemical mechanical polishing composition
TW201137098A (en) Polishing liquid for cmp and polishing method using the same
TW200813202A (en) Rate-enhanced CMP compositions for dielectric films
TWI772323B (zh) 化學機械研磨用組成物及化學機械研磨方法
TW200914591A (en) CMP slurry for polishing silicon film and polishing method
US20130000214A1 (en) Abrasive Particles for Chemical Mechanical Polishing
KR20050060213A (ko) 산화세륨을 포함하는 cmp용 슬러리
US20150114928A1 (en) Abrasive Particles for Chemical Mechanical Polishing
TWI631197B (zh) 含陽離子聚合物添加劑之拋光組合物
CN110139907A (zh) 氧化铈研磨粒
JP2002025953A (ja) 化学機械研磨用水系分散体
TW525246B (en) Process for the chemical-mechanical polishing of isolation layers produced using the STI technology, at elevated temperatures
Beaud et al. Ta/low-κ CMP with Colloidal Silica Particles

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees