TW578449B - Plasma generating apparatus having an electrostatic shield - Google Patents

Plasma generating apparatus having an electrostatic shield Download PDF

Info

Publication number
TW578449B
TW578449B TW087116453A TW87116453A TW578449B TW 578449 B TW578449 B TW 578449B TW 087116453 A TW087116453 A TW 087116453A TW 87116453 A TW87116453 A TW 87116453A TW 578449 B TW578449 B TW 578449B
Authority
TW
Taiwan
Prior art keywords
plasma
openings
area
patent application
electromagnetic energy
Prior art date
Application number
TW087116453A
Other languages
English (en)
Inventor
Lydia J Young
Vojtech Pacak
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW578449B publication Critical patent/TW578449B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J7/00Details not provided for in the preceding groups and common to two or more basic types of discharge tubes or lamps
    • H01J7/24Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

578449
經濟部中央標準而買工消t合作社印製 五、發明説明(1 ) 槪述 本發明係關於用以產生半導體積體電路之電漿產生源 °言羊言之,本發明係關於一種具有靜電屏蔽之電漿產生源 ’其中該靜電屏蔽係可以控制結合至電漿之電磁能及/或 電能及磁能的量。 發明背i 半導體晶圓及其他積體電路(I c )之製造,係包括 相當重要之製造步驟,諸如蝕刻晶圓表面以及鍍覆材料層 於晶圓表面上,以形成裝置元件、相互連接線、介電體、 絕緣辱障等等。各種不同之系統係已經用以將材料層等等 鍍覆在積體電路上,且通常這些層體係由化學蒸氣鍍覆( c V D )而形成。一種習知之熱C V D方法係藉由某些氣 態化學物質之熱反應,而鍍覆一穩定之化合物於晶圓之表 面。各種不同之C V D反應器係已經使用在包括低壓 C V D系統及大氣壓力C V D系統之技術領域中。 近來,電漿強化(有時亦稱爲電漿輔助)C V D系統 (P E C V D )係已經發展出來。P E C V D系統通常係 藉由解離或電離氣態化學物質來進行操作。此一針對電漿 之高電子溫度係會增加可用以鍍覆在晶圓表面上之解離物 質之密度。因此,此系統係可以在比習知熱C V D系統還 低之溫度下操作。此一降低溫度之方法係相當適當的’其 可降低淺接合點之擴散以及內含有金屬之積體電路的內部 擴散。再者’ p E C V D %,統係、® 方令形$胃M _ 7絕,緣 本紙張尺度適用中國國家標準(CNS ) 规格(2⑴x297公兑)-4 - 对先間讀背而之注意事項再楨衿本页) -ο 578449 A 7 H? 經濟部中央標率局員工消f合作社印製 五、發明説明(2 ) ’用以當裝置之密度增加時,可以隔離堆疊之裝置輪廓。 當形成此類多層絕緣層時,其係可以提供一具有良好之間 隙塡充、隔離、應力以及步階覆蓋特性之層體。 爲了解決對於可生產高品質晶圓且具有增加之較小裝 置輪廓之反應器的需求,於是發展出高密度電漿(HD P )CVD (HDP — CVD)系統。HDP — CVD 系統 係利用一電漿產生源,其可以產生高密度離子,通常係大 約爲1 011電漿離子/立方公分或以上。不同類型之電漿 產生源係已發表在習知技術之文獻中。電漿產生源之一實 例係揭露在美國專利第4,9 1 8,0 3 1號中,其係揭 露一揮靜電屏蔽式R F ( E S R F )電紫產生源。此產生 源係具有一縱向金屬屏蔽,其係配置在一螺旋狀線圈中。’ 〇 3 1專利之一改良係揭露在美國專利第 5,234,529號中,其係採用複數個形成在屏蔽上 之縱向狹縫。在業界中,該屏蔽係已用以壓抑在電漿源( 亦即,R F線圈等等)以及電漿區域之間的電容偶合,而 使感應偶合對電容偶合之比値得以最大化。此屏蔽係僅將 電漿源之一小塊面積暴露至電漿區域’因此限制了電磁能 之偶合的電容成分於電漿產生區域中。一般相信在習知技 術之教示中,電容偶合係會增加所處理之半導體受到損害 之可能性,且因此這教示便表明了對於純度或近純度感_嚀 偶合電漿之需求。 本案發明人已經發現,藉由屏蔽來限制電容偶合,係 會產生相當多的問題。首先,電漿產生源之點火係相當的 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨0~>^97公# ) - 5 - (訃先間讀背而之注意事項再填,本頁) 裝.
、1T r線 578449
五、發明説明(3 ) 困難,且經常需要使用輔助之點火器。舉例來說,一點火 線圈、一低功率之R F偏向屏蔽、或甚至係一利用晶圓支 緩偏向功率之低功率啓動順序,係必須用來點火該電漿產 生源。此類輔助性點火器零件之增添,以及用於其能量結 合所需之配合聯絡網,係會增加系統之複雜性及成本,且 會造成效率不彰。 ~ 5 2 9號專利係嘗試藉由改變狹縫之面積來解決點 火之問題,其係利用兩個一前一後配置之同心圓筒狀屏蔽 來達成,其係可移動以改變露出之面積,且增加電容之偶 合。此一配置係相當麻煩,且會增加結構之複雜性以及增 加產车源之成本。再者,改變狹縫之面積效應,可能會導 致此類產生源之變化,而使得配合之聯絡網無法校正產生 源輸入阻抗以及響應頻率之變化。 關於電漿產生源之另一難題,係在於如何使電漿可以 均勻分佈地通過待處理晶圓。習知技術系統通常係在反應 器之不同部位上採用輔助磁力源,以限制及分佈電漿。舉 例來說,一直流線圈係配置在電漿產生源之下方且靠近晶 圓,或者亦可採用兩線圈之系統,或者係使用一系列永久 磁鐵。再次地,此類配置係會增加系統之複雜性及成本。 再者,本案發明人係有理由來相信,輔助性磁場實際上係 具有一不利之影響,且會造成鍍覆均勻性之惡化。 關於電漿產生源之另一問題,係在於可由產生源得到 之離子密度。長久來,對於獲得更高電漿密度之硏究,係 致力在縮減裝置尺寸上的努力。習知之電漿源,如習知技 本紙張尺度適用中國國家標準(CNS ) Λ4规格(210X297公始).β- ^先間讀背而之注意事項再磧泠本页) 、\3 經濟部中央標準局員工消费合作社印製 578449 M濟,中央標準而負工消费合作社'^象 A? H? 五、發明説明(4 ) 術所教示之靜電屏蔽,總係會在某程度上限制了可由產生 源所獲得之離子密度。爲了達到更大之離子密度,本案發 明人已發現,電漿產生源最好係使在屏蔽中之狹縫有效輻 長可以至產生源之高電_流1_中。藉此,由產生源至電 漿之感應偶合係可以大大地增加,且同時可以保持不當之 電容偶合不會改變。 半導體製造係需要該電漿產生源能夠在相當廣泛之壓 力範圍中操作。在一晶圓之製造期間,電漿產生源以及反 應器係在大約爲1 0毫托(mT 〇 r r )或以下之相當低 的壓力下進行操作。然而,在反應器淸潔期間,產生源將 在高凄1 · 0托或以上之壓力下操作。反應器之淸潔在系 統之有效操作上,係扮演相當重要的角色。高活化物質係 會沉積在反應室之壁體與運轉中之零件上,以及沉積在基 質之表面上。此類之沉積係會影響到系統之操作,且對此 系統中之電漿勢能會有不利之影響,且係微粒之重大來源 ,其最後可能會污染鍍覆在晶圓上之薄膜。因此,電漿產 生源最好係可以在一廣泛之壓力範圍中操作。 因此,提供一種可以解決上述問題之電漿產生源係相 當迫切的;此電漿產生源係諸如可自行點火之產生源、可 在相當大範圍之壓力下操作之產生源、以及可達到高離子 密度,且同時能夠維持電磁能之電容偶合分量儘可能地較 低,而增加感應偶合之分量。尤有進者,最好能提供一種 可以促進均勻電漿分佈,且進而使所形成之鍍覆膜能有均 勻品質之產生源。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公始) ^先閱讀背而之注意事項再填寫本頁) *裝· 578449 經濟部中央標準局員工消费合作社印裝 ______ Η*7 __ 五、發明説明(5 ) 發明目的及摘要 因此,本發明之一目的係要提供一種電漿產生裝置, 其係用以處理半導體晶圓及積體電路。 詳言之,本發明之目的係要提供一種用以處理晶圓之 改良式電漿產生裝置,其具有一靜電屏蔽,其係設計成可 以控制偶合至電漿之電磁能總量値,且增加感應式偶合之 能量部分。 本發明之另一目的係要提供一種電漿產生裝置,其具 有一靜電屏蔽,其係可以自行點火,並且可以在一廣泛之 壓力範圍內操作。 本發明之又一目的係要提供一種靜電屏蔽,其係設計 成有助於在電漿容納區中之電漿均勻度之控制。 本發明之又再一目的係要提供一種電漿產生裝置,其 具有增加之離子密度。 本發明之一相關目的係要提供一種電漿產生裝置,其 可以增進鍍覆在晶圓上之薄膜的品質。 本案發明人已發現,在發明背景中所述之問題,係可 以藉由一種電漿產生裝置來加以解決,其係設計成可以J空 制電磁能之量値,以及/或偶合至電漿之電及磁能的分佈 。藉由控制偶合至電漿區之電磁能強度以及電場對磁場之 比値,該裝置便可以控制在電漿區域中之總電場(Ε )及 磁場(Η )。本案發明人已發現,場之強度及比値對於 H D Ρ - C V D系統之操作係有各種不同之影響,包括電 本紙悵尺度適用中國國家摞準(CNS ) Λ4規格(21〇X2〔)7公处)-8 - 銘尤間讀背而之注意事項寫本?JC ) -裝. 經濟部中央標準局員工消費合作社印製 578449 A7 Η ? ———- I -… ----I- - - - - --·-'· · '*··».'— II . - 1 11 ' 五、發明説明(6 ) 漿容納區內部之溫度(尤其係沿著該部位之壁體),電漿 之分佈,電漿之離子密度,以及裝置自行點火之能力。 這些及其他目的係可藉由在此所揭露之電漿產生裝置 來達成,其係包含一電漿容納區以及一用以在電漿容納區 中產生一電漿之電磁能產生源。一靜電屏蔽係配置在電磁 能產生源與電漿容納區之間。此靜電屏蔽係具有複數個開 口形成於其間,其係用以控制由產生源偶合至電漿容納區 之電磁能的強度及分佈。在此係提供有數個實施例,其中 開口能以不同之方式來設計,以控制偶合至電漿之電磁能 的強度及分佈,藉此影響此系統之溫度、電漿均勻度以及 電漿密度。 圖式之簡單說明 本發明之其他目的及優點,在閱讀以下本發明之詳細 說明以及後附之申請專利範圍,並配合所附之圖式之後, 便可獲致更深入之瞭解,其中: 圖1 a係顯示一具有本發明第一實施例之電漿產生裝 置之反應器的部分切開截面視圖。 圖1 b係沿著圖1 a之剖面線b - B所取之電漿產生 裝置的底視截面視圖。 圖2係顯示圖1 a所示之本發明第一實施例之電漿產 生裝置,其半截面切開視圖。 圖3係顯示本發明另一實施例之電漿產生裝置,其半 截面切開視圖。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210x2(T?~^"i~TgT — — (对先間讀背而之注意事項再填寫本頁) -裝. 578449 經濟部中央標準局員工消f合作社印製 Λ7 ________Η, 五、發明説明(7 ) 圖4 a - c係顯示依照本發明三個實施例之三種不同 開口樣式之放大視圖。 圖5係顯示本發明又另一實施例之電漿產生裝置,其 半截面切開視圖。 圖6係顯示本發明又再一實施例之電漿產生裝置,其 半截面切開視圖。 圖7係一實驗數據之圖表,其係描繪在電漿容納區中 之電場分佈,其係沿著依照本發明一實施例之圓筒狀電漿 產生裝置之方向性位置的函數。 圖8係一實驗數據之圖表,其係描繪在電漿容納區中 之磁場分佈,其係沿著依照本發明一實施例之圓筒狀電漿 產生裝置之方向性位置的函數。 圖9係一圖表,其係描繪實驗數據,該數據顯示在電 漿容納區中之電場分佈,其係沿著依照本發明一實施例之 圓筒狀電漿產生裝置之垂直位置的函數。 圖1 0係一圖表,其係描繪實驗數據,該數據顯示在 電漿容納區中之磁場分佈,其係沿著依照本發明一實施例 之圓筒狀電漿產生裝置之方向性位置的函數。 圖1 1係一圖表,其係顯示溫度爲一時間之函數,其 中該時間係表示採用本發明之一實施例,而使處理室中之 壓力迅速上升之時間。 圖1 2係一圖表,其係顯示薄膜鍍覆厚度係具有薄膜 鍍覆於其上之晶圓的位置之函數,其中該鍍覆係採用依照 本發明兩個實施例之電漿產生源來達成。 本紙張尺度適用中國國家摞準·( CNS ) Λ4規格(210X 297公处)-1〇 - (誚先間讀背而之注意事項再硪寫本1 ) -裝. r線 578449 經濟部中央標準局員工消贤合作社印製 五、發明説明(8 ) 主要元件對照表 10 17 2 0 2 4 2 6 2 7 2 7 2 8 3〇 3 1 12 18 4 3 7 4 反應器 電漿產生裝置 處理室 氣體噴射歧管 水平晶圓支撐件 晶圓 真空系統 襯裏 內壁體 外壁體 上壁體 下壁體 凹室 電漿容納區 盤繞線圈 蓋體 _OXL Πϋ. 屏蔽 彈性負載之端子 開口 襯裏 較窄的長形中間部位 擴大部位 邡先間讀背面之注意事項罚功寫本頁 -裝· 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210Χ29?公始)-11 - 578449 Η 7 五、發明説明(9 ) 3 5b 擴大部位 2 1b 下表面 2 1a 上表面 在發明之詳細說明 現請參照圖式,其中在圖式中,相同之元件係以相同 之參考標號來加以標示,圖1及1 b係顯示一示例性 H D P - C V D反應器,其具有一依照本發明實施例之電 漿產生裝置。圖1 a係顯示具有電漿產生裝置1丨之反應 器1 0之部分切開截面視圖,其中該電漿產生裝置係活動 式地附接至處理室1 6。處理室1 6大體上係包括一氣體 噴射歧管1 7 ,其係安裝在處理室1 6中,用以經由一氣 體輸送管(未顯示)以容納至少一氣態化學物質。在處理 室1 6中係配置有一水平晶圓支撐件2 0 (通常亦稱爲”卡 盤),其係用以支撐一晶圓2 4。一晶圓2 4係放置在晶 圓支撐件2 0上,藉此使晶圓2 4之表面係面部朝上。晶 圓支撐件2 0亦可以藉由從一發電機(未顯示)經由一配 合之聯絡綱(未顯示)來供應射頻能,而加以偏壓。一真 空系統2 6,其具有一開口 2 7,係用以洩放反應器1 0 。一真空泵(未顯示)係活動式地結合至處理室1 6。習 於此技者應可瞭解,雖然圖上係顯示一示例性之反應器, 然而本發明亦可以應用在其他類型之反應器中。此外,雖 然本發明之電漿產生源最好係應用在H D P - C V D系統 中,然而本發明亦可應用在任何電漿系統中,諸如 翎先間績背而之注意事項再蛾寫本页 •裝. 經濟部中夾標準扃負工消f合作社印製 本紙張尺度適用中國國家摞準(CNS ) Λ4规格(210X29D># ) - 12 - 經濟部中央標準局負工消f合作社印製 578449 ______Η ? 五、發明説明(1〇 ) p E c v D系統及蝕刻系統中。 現請參照圖1 b及圖2,其中顯示一電漿產生裝置 1 1 ’或普遍所稱之 ''電漿產生源〃。電漿產生裝置1 1 係包含一外殻,其包括一內壁2 7以及外側、上方及下方 壁體2 8、3 0及3 1,在這些壁體之間共同形成一凹室 1 2。內壁2 7係圓筒狀,且具有一形成電漿容納區1 8 之內部空間。在凹室1 2中係配置有一電磁能產生源1 3 ’其係用以在電漿容納區1 8中產生電漿。最好,該電磁 能產生源係一盤繞線圈1 3。一蓋體1 5係配置在電漿容 納區1 8之上方,以形成電漿產生裝置之頂部。蓋體1 5 可以係一扁平之平板、一圓頂狀之表面或任何適當形狀之 蓋體。或者,一上方氣體噴射歧管係可配置電漿容納區 1 8之上方,以形成電漿產生裝置之頂部,且用以傳送至 少一氣態化學物質至電漿容納區1 8中。最好,電漿產生 源1 1係呈圓筒狀,且最好係業界中所歸類之感應偶合式 電漿(I C Ρ )。 電漿產生源1 1進一步包括一圓筒狀具有狹縫之靜電 屏蔽1 9 (或稱之爲 '屏蔽〃),其係由非磁性材料所構 成,屏蔽1 9通常係配置在凹室1 2中,而介於盤繞線圈 1 3與內壁2 7之間。爲了提供屏蔽之接地,屏蔽1 9係 經由螺絲或彈性負載之端子1 4,而附接至電漿產生源 1 1之外壁2 8。就實際之應用而言,屏蔽1 9之參考電 位係相對於外殼,且通常該電性參考係接地電位。然而, 其亦可應用在電位參考係設定爲非零之電位勢。最好,內 本紙張尺度適用中國國家標隼(CNS ) Λ4规格(210Χ 297公始)-13 - (請先閱讀背而之注意事項再項、rcT本頁)
578449 經濟部中央標導局員工消費合作社印f in 五、發明説明(μ ) 壁2 7係一襯裏’其係由低成本之絕緣材料所構成,諸如 石英或高純度陶材料,且上壁、下壁以及外壁2 8、3 0 及3 1,與屏蔽1 9係由非磁性之高感應性金屬所構成。 襯裏2 7通常係呈圓筒狀,且其係構成暴露至電漿容納區 1 8之壁體。 該靜電屏蔽1 9具有複數個開口 3 3形成於其中,係 一相當獨特之優點。如圖1 a、2及3所示,開口 3 3係 縱向延伸,而沿著屏蔽1 9之圓周方向相隔開。依照習知 技術之教示,靜電屏蔽係用以吸收在產生源及電漿區之間 的電場能量。如上述發明背景中所述者,本案發明人已發 現到習知技術之靜電屏蔽係已不適用。本案發明人所發現 之上述的不適用性,係可以由本發明之電漿產生組件來加 以改良,其係設計成可以控制偶合至電漿區之雪磁能之弹 - .一. r — ———^ —.. - 度及其分佈。藉由控制偶合至電漿容納區1 8之電磁能的 強度及分佈,所產生之電場(E )及磁場(Η )之強度, 以及電場對磁場之比値(E / Η ),便可加以控制。本案 發明人已發現,電場之強度及比値對於H D Ρ - C V D之 操作係有各種不同之影響,包括電漿容納區1 8內部之溫 度(尤其係襯裏2 7之壁體),電漿之分佈,電漿之離子 密度,以及裝置自行點火之能力。因此,相當具有優點的 ,本發明所提供之電漿產生裝置,其係設計成可以控制電 磁能之偶合,藉此來控制在電漿容置區中之溫度、電漿分 佈性以及電漿離子密度,且可使其自行點火。 依照本發明,這係可以藉由以下之方式來達成:屏蔽 本紙張尺度適用中國國家標準(CNS ) /\4规掊(210X 297公处)-14 - 部先間讀背而之注意事項再硪寫本页) -- -線 經濟部中央榡準局負工消費合作社印裂 578449 ___in 五、發明説明(12 ) 1 9係設計成可以控制偶合至電漿之電磁能的量値及分佈 ,此係藉由設計在屏蔽1 9中之暴露相對於未暴露之面積 ,以及該暴露及未暴露區域之配置而達成。參照圖2 - 6 ,其中顯示四個電漿產生裝置1 1。圖2顯示一依照本發 明第一實施例之電漿產生裝置1 1的截面視圖,亦可稱之 爲 ''寬狹縫〃實施例。襯裏2 7係加以切開,以淸楚地顯 示出配置在盤繞線圈1 3與襯裏,2 7之間的屏蔽1 9。屏 蔽係可以隨著襯裏2 7之長度而共同延伸。或者,屏蔽亦 可僅沿著襯裏2 7之部分長度或大部分長度而延伸。 屏蔽19具有上端21a及下端21b,且在屏蔽 1 9印部係形成有複數個開口 3 3。開口 3 3係未依照實 際比例繪於圖2 - 6上,而是將其放大以顯示其細部結構 。在示例性實施例中,開口 3 3係長形的,且係沿著屏蔽 1 9之圓周而延伸形成。在本實施例中,開口 3 3皆係具 有相同之寬度,且係平均地隔開,然而,如下文將說明者 ,開口係可以具有不相等之寬度及間隔。開口 3 3係可大 體延伸在屏蔽1 9之端部2 1 a及2 1 b之間。或者’開 口 3 3亦可僅沿著屏蔽1 9之一部分長度而延伸,以取代 大體延伸在兩端部之間。在此應指出的是,未延伸貫穿過 兩端部之開口設計,亦可稱之爲”封閉端屏蔽”。 圖2所示之電漿產生裝置之一獨特的優點’係在於其 設計可以偶合電磁能所增加之量値。詳言之’該裝置係設 計成可以偶合由電漿產生裝置1 1至電漿容納區1 8所增 加之電容。屏蔽所包含之開口相較於習知技術所慣用者係 中國國家標準(CNS ) Λ4規格(21〇Χ 297公趁)-15- (劫先間讀背而之注意事項再硪寫本页)
578449 Λ7 H? 經濟部中央標準局負工消费合作社印製 五 、 發明説明( :13 ) -------* ------ 1 較 寬 > 此 較 寬 之 開 P 係 可 以 提 供 暴 露 於 線 圈 之 較 大 面 積 1 1 因 此 較 大 量 値 之 電 磁 能 便 可 以 電 容 性 地 偶 合 至 電 漿 容 納 1 1 區 1 8 〇 屏 蔽 係 具 有 — 暴 露 面 積 ( 亦即 , 開 □ 3 3 之 面 積 1 I ) 係 大 約 爲 屏 蔽 1 9 之 總 面 積 的 2 0 % 至' 5 0 % 之 間 > 請 間 1 I 且 最 好係 2 0 % 至 4 0 % 若 星 麗 Μ 農爲 更 佳 〇 讀 背 而 1 Ί I 在 —· 示 例性 之 實 施 例 中 於 屏 蔽 1 9 上 係 形 成 有 3 6 個 開 之 注 意 1 □ , 且 係 彼 此 間 隔 約 1 • 0 英 吋 〇 此 3 6 個 開 □ 係 具 有 約 事 1 _ 爲 0 6 3 英 吋 之 寬 度 〇 開 □ 3 3 係 淸 楚 地 顯 示 在 圖 4 a 填 裝 本 中 , 其 中 係 顯 示 -~~* 開 □ 之 放大 的 截 面 視 圖 〇 所 增 加 之 暴 露 頁 、^〆 1 I 面 積 係 具 有 相 當 大 的 優 點 尤 其 係 可 以 使 裝 置 白 行 點 火 1 1 I 且 可 在 較 筒 之 壓 力 下 操 作 〇 1 1 I 電 漿 產 生 裝 置 之 另 一 實 施 例 係 顯 示在 圖 3 中 > 其 中 顯 1 訂 1 示依 照 本 發 明 第 二 實 施 例 之 電 漿 產 生 裝 置 1 1 的 截 面 視 圖 1 1 > 亦 可 稱 之 爲 \\ 沙 漏 狀 // 實 施 例 〇 再 次 地 j 襯 裏 2 7 係加 1 1 以 切 開 以 淸 楚 地 顯 示 該 屏 蔽 1 9 〇 屏 蔽 1 9 係 配 置 在 盤 繞 _丨 線 圈 1 3 及 襯 裏 2 7 之 間 > 且 包含 複 數 個 開 □ 3 3 於其 中 線 I 0 在 此 一 沙 漏 狀 實 施 例中 j 電 漿 產 生 裝 置 係 設 計 成 可 以 偶 1 I ΡΠ 少 里 之 電 磁 能 因 爲 其 相 較 於 圖 2 所 示 之 寬 狹 縫 實施 1 1 I 例 ) 在 中 央 部 位 係 具 有 較 小 之 電 容 偶 合 〇 在此 沙 漏 狀 實 施 1 1 例中 開 □ 3 3 係平均 地 隔 開 且 大 體 延 伸 在 端 部 表 面 1 1 2 1 a 及 2 1 b 之 間 〇 或 者 開 P 亦 可 以 僅 延伸 於 屏 蔽 1 1 1 9 之 一 部 分 長 度 上 〇 再 者 j 開 □ 3 3 之 配 置 亦 可 變 化 方 1 1 位 , 亦 即 開 □ 可 以 沿 著 屏 蔽 1 9 之 圓 周 而 以 一 種一 J1 1 I 方 式來加 以 配 置 〇 開 □ 3 3 係 淸 楚 地 顯 示 在 圖 4 b 中 1 其 _____—^ 1 1 1 本紙張尺度適用中國國家標隼(CNS ) Λ4规格(21 〇X297公姑)-16 - 578449 經濟部中央標準局負工消费合作社印製 Η"7 五、發明説明(14 ) 中顯示一開口之放大截面視圖。開口 3 3並非具有均勻的 寬度,而是在中央具有一較窄的長形中間部位3 4 ’其係 配置在開口 3 3兩端部之擴大部位3 5 a及3 5 b之間。 在此一實施例中,偶合之電磁能分佈係藉由在線圈與在屏 蔽1 9之中間部位的電漿之間電容偶合値之降低而改變, 其中該電容偶合値之降低係由於開口 3 3之較窄的長形中 間部位3 4所致。屏蔽所具有之暴露面積係大約爲屏蔽 1 9之總面積之4 0 %或以下,且最好係1 5 %至4 0 % ,芳爲.3 p %之_暴露面_„積則j佳。在示例性之實施例中, 在屏蔽1 9上係形有3 6個開口,且平均隔開約1 · 0英 吋,每一開口皆具有以下之尺寸:端部3 5 a及3 5 b之 寬度係大約爲0 · 6 3英吋,而中間部位3 4之寬度係大 約爲0 . 0 6英吋。端部之長度係可以相同或不同,而在 示例性之實施例中,每一端部3 5 a及3 5 b之長度係分 別爲1 . 5及1 . 2 5英吋,而中間部位3 4之長度係大 約爲1 · 7 5英吋。雖然已給定一定之尺寸,然而應瞭解 的是,開口之其他尺寸及間隔與配置方式,亦可使屏蔽達 到一定之效果。 電漿產生裝置之另一實施例係顯示在圖5中,其中顯 示一依照本發明第三實施例之電漿產生裝置的部分切開截 面視圖,或稱之爲 > 開放端〃實施例。再次地,襯裏2 7 係加以切開以淸楚地顯示該屏蔽1 9。屏蔽1 9係配置在 盤繞線圈1 3及襯裏2 7之間。在該開放端實施例中,複 數個長形開口 3 3係形成在屏蔽上,且係沿著屏蔽1 9之 本紙張尺度適用中國國家椋準(CNS ) Λ4规格(21〇Χ 297公始)-17 - 許先間讀背而之注意事項再填寫本頁) -裝· 線 578449 經濟部中央標準局員工消贤合作社印製 A 7 _ 五、發明説明(15 ) 周緣而延伸,但在此例中,開口之一端係延伸貫穿屏蔽之 下表面2 1 b ,以形成具有w開放式〃端部之屏蔽。此外 ,屏蔽1 9之下表面2 1 b亦可僅延伸於襯裏2 7之一部 分長度上,藉此使一個或以4之線圈名㊉有或一部分暴露 出來。在此很重要地必須指出,屏蔽其呈開放狀之一端係 〜— .·· - . ^ .. 一 — — * —* 一 必須面向線亂之高電蓋、端L亦_即」i泉麗之Ul端)。在此 情況中,線圈係與外殼之底壁3 1接地。屏蔽其面向線圈 之另一端的端部(亦即,線圈之末梢或低電流端)係連接 (亦即,接地)至處理室之主體。因此,在此例中,屏蔽 之頂端2 1 a係附接至外殻之頂壁3 0。因此,在此例中 ,屏f之頂端2 1 a係連接至外殻之頂壁3 0。屏蔽、之開 放端的位置係視線圈那一嗶爲揍主也端_(高而定, 且_此其可以定位在屏蔽之底端或頂端。因此,在開放端 之實施例中,電漿產生裝置相較於上述實施例,係設計成 可以感應式地偶合較大量之電磁能。詳言之,該屏蔽係具 有一暴露面積,其範圍大約爲5 %至4 0 %,最好係5 % 至3 0 %,若_爲_^ 0 %則更佳。在圖5所示之示例性實施 例中,開口 3 3係具有固定之寬度,其大約爲1 / 8至 1 / 2英吋。在屏蔽1 9上係形成有3 6個開口,且每一 開口係大約平均地間隔約1 · 0英吋。或者,開口在寬度 及/或間隔上亦可以有所變化,且該開口亦可具有如沙漏 狀實施例中之開口的形狀,且可以使狹窄之中間部或較寬 端部終結在端部表面2 1 b。在另一實施例中,某些開口 係可以延伸穿過一端部表面,然而其他開口則係可以僅延 本紙張尺度適用中國國家福準(CNS ) Λ4規格(210Χ 297公始)-18 - (請先間讀背而之注意事項-?]填寫本頁)
578449 經濟部中夾標準局員工消费合作社印製 H? 五、發明説明(16 ) 伸在兩端部表面之一部分或大體部分。上述之所有不同模 式之開口 3 3係具有控制偶合至電漿之電磁能之量値及位 置之相同目的。 開放式端部屏蔽之連接係相當重要的,且在此示例性 實施例中,屏蔽之頂端2 1 a係附接至電漿源1 2之外壁 2 8。具有開口 3 3延伸穿過,亦即、'開放端〃,之屏蔽 之底端2 1 b ,係懸垂的,且未附接至任何表面。因此, 屏蔽1 9係以其封閉端而接地至電漿源1 2,亦即,在此 例中係爲頂端2 1 a ,係面對線圈之開放端(低電流端) 〇 本發明之此一實施例係提供相當獨特之優點,其可以 由當屏蔽以其兩末端來接地時,將會產生何種效果之某些 說明中獲得說明。屏蔽以其兩末端接地,如上述所揭露之 寬狹縫及沙漏狀實施例,其係具有超越習知技術之相當大 的優點,然而,以兩末端來加以接地係具有有效地縮短開 口之輻射長度的效應。事實上,開口係在屏蔽之兩側邊短 路,且R F表面電流係可輕易地流入在高感應性金屬上之 屏蔽上。此接著便會限制電磁能至電漿之感應偶合的量値 。本案發明人係藉由將開口之一端一直地貫穿屏蔽其面向 線圈之高電流端(亦即,接地端)之一端,且藉由打開屏 蔽該端與接地端之電性連接,來解決此一效應。在此一獨 特之屏蔽實施例中(亦即,開放端式屏蔽),所形成通過 開口之具有方向性的電場,係不會開口之端部短路(其如 今係已打開的),且因此,電磁能之感應偶合便可增加。 本紙張尺度適用中國國家標率(CNS ) Λ4規格(210X 297公犮)-19 - (請先間讀背面之注意事項再填寫本頁) 裝· Γ線 578449 經濟部中央標準跔男工消f合作社印¾ 五、發明説明(17 ) 在此同時,在線圈與電漿之間的電容偶合係不會有明顯之 增加,因爲產生在屏蔽與產生源主體之間的開口間隙係接 近盤繞線圈之 ''低電位勢〃(亦即,低電壓)端。另一重 大之優點係在於本案發明人已發現,在本發明之所有實施 例中,藉_由勝盤繞線圈之高電流端,配置在比線.圏之頂鄧 還靠近晶圓表面2 4之線觸底部上,其便可使電漿密度點 更靠近晶圓表面2 4。這可以增進鍍覆在晶圓上之薄膜品 質。 因此,屏蔽1 9其未連接至電漿源主體之開放端,最 好係定位在相對於盤繞線圈1 3之高電源端(亦即,接地 端)之對應位置上,且該位置係配置在屏蔽1 9之底端 2 1 b,其係較靠近晶圓表面2 4。相當具有優點的,使 電漿產生裝置1 1具有一開放端之屏蔽1 9,其可逛般繞 線圈1 3之高電流(接地)端共同作用,係#有敬同於增 加狹縫3 3之有效輻射長度之爽果,其接著便可增加在產 生源與電漿容納區之間的/感應偶合。將裝置以此方式來加 以設計,係可增加電漿密度,且大大地增加產生源將電漿 點火之能力。較高之電漿密度係可藉由當相較於以封閉端 式屏蔽所達到之溫度時,其在晶圓上所增加之溫度得到證 明。再者,就開放端屏蔽Μ言,蓖生、厭係可以較..窄之開口 來點火一電漿,其中該較,之開卫係相較SU亥產歲Μ係具 有封閉端之屏_蔽造型而m。這使得屏蔽能具有完全之遮蔽 效果,而不會使產生源之電漿點火性能有所折損。 相當具有優點的,本發明提供一電漿產生裝置,其係 本紙尺度適用中國國家標準(CNS ) Λ4規格(210X 297公兑)_ 2〇 (請先間讀背面之注意事項再硝rcT本可c) 裝- 578449 經濟部中央標準局員工消贫合作社θ^ Λ7 H? 五、發明説明(18 ) 設計成可以控制由電漿產生源至電漿容納區之電磁能偶合 的量値及分佈。本案發明人係進行許多實驗,以評定電場 及磁場之分佈及相當強度,且設計該電漿產生裝置可以控 制電磁能及所形成之場分佈及強度,以獲得在溫度、電漿 均勻度及離子密度之最佳效果。
數個實驗係利用一實驗性電漿產生裝置來進行。其係 採用一具有盤繞線圈做爲其電磁能之電漿產生裝置。在此 特定之實驗中,盤繞線圈係以其上端部來加以接地(亦即 ,以上壁3 0 )。一屏蔽係配置在線圈及電漿容納區之間 ,其係具有由五個垂直對齊之開孔所構成之開口。三十六 個成$開孔係形成在屏蔽之周緣上,藉此在屏蔽周緣上形 成五個圓孔陣列。開孔陣列係以數字1 - 5來加以標示。 所用之開孔係用以相對於長形開口,以使資料可以在屏蔽 周緣上之精確垂直及具有方向性之位置上來加以收集。在 兩相鄰開孔(在一圓孔陣列中)之間的方向性距離係1〇 度,而在開孔之間的垂直距離則係0 . 9 〃 (亦即,在每 一圓孔陣列之間的距離),且中間圓孔陣列(陣列# 3 ) 係配置在屏蔽之垂直中央處。爲了測量電場及磁場強度, 其係採用一簡單之探針(探測針)以及一小型迴圈。藉由 使用該迴圈,除了強度之測量以外,磁場之方向亦可以測 量出來。詳言之,藉由轉動探針而使得接收到之信號最大 化,該迴圈平面便可以定位成垂直於磁場線。所進行之測 量係使用 Hewlett-Packard Network Analyzer Model 8 7 5 3 D 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公赴).21 - (請先閱讀背面之注意事項再填寫本頁) -裝 線 經濟部中央標準局員工消费合作社印製 578449 A7 H? """" — - .11 » ------- ------- ---------------------------— ----. .·.----------------- 一 ------- - ...... 五、發明説明(19 ) 在電漿容納區中之電場分佈,係沿著屏蔽之不同垂直 位置之方向性位置的函數,其係顯示在圖7中。由測量値 所覆蓋之區域係大約由屏蔽之高度及全圓方向角度所給定 。電場強度(y軸)係描示電場功率強度,其係以分貝( d B )之制式單位來表示。電場之最低強度係設定爲零。 6分貝之差値便等於兩倍之電場強度(或電壓及電流), 或者係四分之一的功率強度。曲線# 1 - # 5係表示沿著 屏蔽之周緣垂直配置之五個圓孔陣列之位置。 圖7之圖表顯示電場之最大値並非係在最低之圓孔陣 列(亦即,圓孔陣列或曲線# 5 ),其係設定在最靠近盤 繞線_之開放端,其最大値反而係產生在圓孔陣列# 4 ( 亦即,曲線# 4 )所在之平面上,其係位在底部上方 〇· 9英吋處。除了圓孔陣列# 1及# 5以外,電場係繞 著屏蔽而呈具有方向性之固定。在圖7之曲線# 5之點A 處的電場強度的遽減,係對應於盤繞線圈之開放端。 在圖8中係顯示磁場之分佈,且其係與電場呈相反互 補,其絕對最大値係產生在盤繞線圈接地點附近,而最小 値係產生在盤繞線圈之開放端(其在此一特定設計中係定 位在底部)。不若圖7之電場分佈,磁場係隨著在接地點 與在1 2 0陘呀f輸入點之間的分別最大化部位而具有方 向性地變化。此絕對最大値並非沿著最高之圓孔陣列(亦 即,圓孔陣列# 1 ),其係最靠近盤繞線圈之接地,而是 其最大値係產生在圓孔陣列# 2所在之平面上,其係定位 在由頂部向下0 · 9英吋處。一般相信,在盤繞線圈之第 本紙張尺度適用中國國家標準( CNS ) Λ4規格(210X 297公楚)~7^ — (掮先閱讀背而之注意事項再填寫本頁 -裝· r線 578449 經濟部中央標準局負工消费合作社印製 Λ 7 Η"7 五、發明説明(2〇 ) 一圈上的r f輸入片之位置的效果,係對於圓孔陣列# 1 之場均佈性有所影響。 爲了進一步評定電場及磁場之分佈,將電場及磁場描 繪成沿著屏蔽之垂直位置(亦即,圓孔陣列號碼)之函數 ’且以方向位置做爲變化參數。電場及磁場之結果係分別 顯示在圖9及圖1 0中。本案發明人發現,電場峰値係靠 近在圓孔陣列# 4與# 5之間的線圈之末梢(亦即,在此 特定例子之最下方部位)。爲了對照,磁場之相同測量顯 示其可以相當地集中在屏蔽水平中央平面,而不是靠近線 圈之接地端(在此特定之例子中,線圈之接地係位在上方 部位),。此一發現說明了爲何在線圈接地側之屏蔽開口, 係可增加在產生源與電漿容納區之的感應偶合量値。 基於此一發現,本案發明人於是推論,所產生之電場 及磁場係與電漿產生裝置有密切之關聯,尤其係靜電屏蔽 與線圈之形狀。本發明藉由提供一種電漿產生裝置,其係 設計成可以選擇性地控制電磁能偶合至電漿容納區之方式 ,而提供了相當大之優點。這使得該裝置可以具有經設計 之電場(E )及磁場(Η ),以控制場之強度及電場對磁 場之比値(E / Η )。本案發明人已發現場之強度及比値 ,對於H D Ρ — C V D系統之操作係具有不同之效應,包 括在電漿容納區中之溫度(尤其係沿著襯裏2 7之內壁) ,電漿之分佈,電漿之離子密度,以及裝置自行點火之能 力。因此,相當具有優點的,本發明提供上述之電漿產生 裝置,其係設計成可以控制在電漿容納區中之溫度、電漿 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210x 297々^ ) - 23 - (謂先閱讀背而之注意事項再楨寫本頁) -裝· 578449 五、發明説明(21 ) 分佈性以及離子密度,且可以自行點火。 在此亦發現電漿產生源本身,在盤繞線圈之例子中, 係具有一定之場不對稱性。因此,電漿產生裝置,尤其係 屏蔽,係設計成可以控制在電漿容納區中之電紫均勻性, 藉此提供晶圓之更爲均勻之處理。這係具有相當大之優點 ,因爲依照本發明,屏蔽係設計成針對H D P - C V D系 統,而具有一額外之控制裝置,以影響電漿均勻度。本發 明之不同實施例係設計成可以解決在方向性及垂直方向上 之場不均佈性。這與習知技術藉由使靜電屏蔽具有方向性 之均勻度,而僅限制在產生源與電漿之間的電容偶合的簡 單功能,係大大地不同。 經濟部中央標準局員工消费合作社印製 如上所述,本發明係設計成可以控制電磁場之強度, 並進而設計成具有最終之電磁能樣態。在系統操作期間, 電容式偶合之電場係徑向地定位在產生源之腔室壁體上。 這對於在電漿容納區中之總溫度係具有重要之影響,因爲 離子可以被電場向量帶往壁體,且撞擊在壁體上,因而造 成較高之溫度。在高電場強度之區域,其溫度便會增加。 這些溫度之效應在沿著襯裏2 7之對應壁體上係更能感受 到,其中該壁體係指形成電漿容納區1 8之壁體而言。在 特定之操作期間,諸如反應器之淸潔,其係需要相當高之 壓力,電漿係更容易集中在電漿容納區1 8中。這對於襯 裏2 7便會有較高之溫度以及較高之溫度梯度。此一問題 可以藉由控制電容偶合之電磁能來加以解決,且本發明提 供一種電漿產生裝置,其係設計成可以降低電容偶合至位 本紙張尺度適用中國國家標準fcNS ) Λ4規格(210X 297公^ ~_ 24 - 578449 經濟部中央標準局員工消f合作社印製 五、發明説明(22 ) 在最高電場強度之區域中的電漿。如圖9所示,輻射狀之 電場係在屏蔽之中央水平面上具有最大之強度。在本發明 之一實施例中(如圖3所示之沙漏狀實施例),一電漿產 生裝置係具有一屏蔽,其具有較窄之中間部位以及較寬之 端部。在此一實施例中,屏蔽係設計成可以具有更均勻分 佈之電場,其係籍由限制電容偶合至中間部位之電黎的電 磁能量値而達成,藉此降低在此部位之輻射電場。圖3所 示之沙漏狀實施例係解決此問題之較佳實施例。相當具有 優點的,在沙漏狀實施例之寬端部開口係可提供足夠之電 磁能偶合,以使裝置可以自行點火,而不需要補充額外之 點火裝置。 進行數個實驗以評定襯裏2 7在不同處理壓力下之溫 度。其中一實例之結果係顯示在圖1 1中,一圖表係描繪 出本發明之沙漏狀實施例,其沿著襯裏之垂直長度的一定 位置處的溫度,該溫度係用以迅速增加處理室之壓力的時 間函數。六個熱偶(T C / s # 1 — # 6 )係沿著襯裏之 垂直長度而配置,且T C # 6係位在襯裏之底部。在此應 指出的是,T C # 1係此實驗初期係失效的。熱偶係大約 隔開約0 . 9英吋。襯裏溫度之方向性傾向係藉由以埋入 之熱偶相對於盤繞線圈之轉動而探測得到。在電漿容納區 中之壓力係藉由導入N F 3氣流而迅速地增加。這係模擬在 淸潔操作期間之處理狀態。如圖1 1所示,壓力係在 1 0 0秒內由0 · 1托增加至3托,且接著緩慢地降低至 0 · 4托以下。襯裏之溫度並未增加至2 0 0蚓以上,其 本紙張尺度適用中國國家標準(CNS ) Λ4規梏(210X 297公浼)-25 - (請先間讀背而之注意事項再頊泠本頁) .裝. 578449 經濟部中央標率局員工消费合作社印製 Λ? Η*7 五、發明説明(23 ) 係處在襯裏材料之熱穩定性範圍內。在此所顯示之結果相 對於以具有均勻寬度開口之屏蔽所得到之溫度分佈模式, 係相當明顯之對比。在寬開口之實施例中,在通過襯裏之 相當大的溫度梯度係存在相當廣泛之壓力範圍,由〇 · 5 托至3托。1 本發明亦提供一種電漿產生裝置設計,其係可以增加 由產生源能達到之離子密度。本案發明人亦已發現,電漿 產生源係會產生一非均勻之電漿,特別係由於盤繞線圈原 本存在之電場不對稱性所致。此一不對稱性係可以由所產 生之電及磁場之分佈性所看出。在電漿容納區中之最大 R F雖場的區域,係對應於線圈具有最大電流之區域。藉 由設計電漿產生源組件之幾何形狀,尤其係靜電屏蔽之幾 何形狀,以從線圈之高電流部位達到電磁能之強大的感應 偶合,由該組件所產生之離子密度便可增加。此一電漿組 件之實例,便係圖5所示之本發明之開放端實施例。詳言 之,屏蔽1 9之端部表面(2 1 a或2 1 b )係具有開口 3 3貫穿,使得該屏蔽在該端部係呈 ''開放端部〃。此一 端部係設計成可對應於盤繞線圈之高電流區域。藉由使該 開口 3 3呈開放狀,通過接近開口其開放端之電場便可大 大地增加,其中該電場係由屏蔽上之感應表面電流所產生 。不若由電容性偶合所產生之輻射狀電場,此一電場係具 有螺旋狀(具有方向性),且不會將電子朝向外壁2 8來 加速。由於開口之開放端係定位在靠近最大電流之區域, 因此此一設計可以有效地增加電容性偶合至電漿之電磁能 本紙张尺度適用中國國家椋华(CNS ) /\4規格(210'乂297公焓)-26 - (請先間讀背而之注意事項再填苟本頁)
578449 經濟部中央標準局負工消费合作社印製 A7 Η"7 五、發明説明(24 ) 的量値。 本發明之裝置可以設計成消除或補償電漿產生源之不 對稱性。詳言之,在本發明於圖6所示之實施例中,電漿 產生裝置1 1係設計成可以補償不對稱性,且在電漿容納 區中提供較爲均勻之電場分佈(及因而造成之電漿分佈) 。當使用一獨立之直流磁場源(諸如永久磁鐵或一直流線 圈)時,控制電漿之均勻度係相當地重要,因爲當施加一 直流磁場時,任何固有之電漿產生源之不均勻性將會更加 地明顯。再次參照圖6,屏蔽1 9係採用具有不同寬度及 間隔與長_度之開口 3 3。較寬之開口係配置在具有較大之 電磁能之電容性偶合之部位,以增加暴露面積。較窄之開 口,或在開口之間具有較寬間隔之開□,係配置在具有較 少電容性偶合之部位,諸如在高輻射電場之部位中。該開 口可以係沙漏狀,也可以係開放端部,或可以係具有固定 之寬度,此係視欲偶合之電磁能的量値與分佈而定。再者 ,該屏蔽在某些部位可以係xv空下來〃的,此表示屏蔽之 某些部位並不包含有開口。雖然其係顯示一特定之實施例 ,然而應可瞭解的是,依照本發明之教示,亦可採用許多 組合件,以使電漿之分佈可以更爲平均。 實驗 數種晶圓係採用本發明之電漿產生裝置所生產。這些 實例在此說明性地提供,但不具有任何之限制性。 (請先間讀背面之注意事項再續寫本頁) -裝· 線 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公t ) - 27 - 578449 Λ7 ______ Η ? 五、發明説明(25 ) 實驗1 利用圖1 a所示之反應器所來生產半導體晶圓,其中 該反應器係具有本發明之兩種不同實施例的電漿產生裝置 :(1 )寬狹縫實施例以及(2 )沙漏狀實施例。二氧化 矽(S i〇2 )層係依照下列之處理條件而鍍覆在一晶圓之 表面: 電漿產生裝置:具有盤繞線圈之寬狹縫實施例與沙漏 狀實施例 電漿產生源功率: 5仟瓦 偏壓功率: 0、1.25及2.45仟瓦 率理室壓力: 5毫托 氣體流: 氬氣(Ar)@213sccm 氧氣(〇2) @190sccm 石夕甲院(S iH4) @95 s c cm 鍍覆結果、溼蝕刻率比値(W E E R ),以及氬氣( A r )噴出率係加以評定。 其結果係摘錄如下: (請先間讀背面之注意事項再蛾寫本页) -裝·
、1T 線 經濟部中央標準局貝工消f合作社印製 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公始)-28 - 578449 Λ7 n? 五、發明説明(26 ) 表1 一鍍覆結果 寬狹縫實施例 rf.偏 鍍覆率 均勻 RI-邊 RI-中 壓功 A/分鐘 性% 緣 央 率 0 6530 3.28 1.4765 1.4783 1.25 5324 3.5 1.4665 1.4644 2.45 4508 4.29 1.4651 1.4651 沙漏狀實施例 rf.偏 鍍覆率 均勻 RI-邊 RI-中 壓功 A/分鐘 性% 緣 央 率 0 6574 3.65 1.4766 1.4784 1.25 5295 3.54 1.4664 1.4648 2.45 4422 3.91 1.4624 1.4647 經濟部中央標準局員工消费合作社印掣 如表1所示,二氧化矽層係在未具有r f ♦偏壓及具 有r f ·偏壓之兩種狀態下來加以鍍覆至晶圓支撐件2 0 。鍍覆率、均勻度百分比以及折射率(R I )皆加以測量 。以本發明之寬狹縫及沙漏狀實施例皆可達到適當之結果 〇 該W E E R係加以測量,且其結果係顯示在以下之表 2中:該W E E R係藉由將樣本晶圓浸入至緩衝酸液中達 一固定之時間,且接著測量蝕刻之均勻性。結果係可以給 定薄膜密度之指示,其中之一係薄膜鍍覆方法之品質。 本紙張尺度適用中國國家標準(CNS) Λ4規格(2丨〇><2()7公#· ) - 29 - 578449 A? _ H? 五、發明説明(27 )
表 2 — w E E R 寬狹縫實施例 沙漏狀實施例 rf.偏壓(仟 瓦) WEER 均勻性% rf.偏壓(仟 瓦) WEER 均勻性% 0 687 3.1 0 731 3.47 1.25 509 4.93 1.25 519 4.46 2.45 465 2.77 2.45 450 2.5
之 下 以 在 示 I 果 結 之 當 奇一 接著/氬氣噴出率係加以評定,且其結果係 表3中: 表3-AR噴出率 寬狹縫實施例 rf.偏壓(仟瓦) @5毫托氬 噴出率(A /分鐘) 均勻性% 1.25 2748 10.6 經濟部中夾標準局員工消f合作社印裝 沙1 爾狀實施例 rf.偏壓(仟瓦) @5毫托氬 噴出率(A/ 分鐘) 均勻性% 1.25 2783 10.25 如上所示,本發明之兩實施例係可提供 且具有密切之關聯性。 實驗2 本紙张尺度適用中國國家標率(CNS ) Λ4規格(210X297公龄)~-30 : 578449 經濟部中央標率局員工消费合作社印^ Λ7 —____in 五、發明説明(28 ) 第二組實驗係用以比較鍍覆在晶圓上之薄膜均勻度, 其係採用(1 )在習知5 2 9 >號專利中所揭露之電漿產 生裝置,以及(2 )依照本發明之實施例的電漿產生裝置 ’其中之開口 3 3係僅形成在屏蔽1 9之大約一半周緣上 ;因此,屏蔽之另一半圓周係連續的,且未具有任何之開 口(亦即,屏蔽的一半係空下來〃的)。此一實施例係 可視爲具有非對稱配置之靜電屏蔽1 9的一個極端之例子 。屏蔽1 9之空下來部分係配置在線圈接地與襯裏2 7之 間。二氧化矽層係配置在晶圓上,且同時對於習知技術之 屏蔽及本發明之裝置,其皆係採用在實驗1中所陳述之處 理條仵。 鍍覆層之厚度及均勻度係利用Prometrix SpectraMap S Μ 3 0 0工具來加以測量。採用本發明之電漿產生裝置 所處理之晶圓,係具有1 · 5 3 6 %之不均勻度。採用習 知技術電漿產生裝置所產生之晶圓,係具有2 · 1 3 6 % 之不均勻度。因此,本發明之裝置係提供了鍍覆在晶圓上 之薄膜均勻度之增進。 實驗3 第三組之實驗係用以測量採用本發明兩種不同實施例 之裝置,而鍍覆在晶圓上之薄膜的厚度及均勻度。其結果 係顯示在圖1 2中。詳言之,所用之裝置係包含一電漿產 生裝置,其係具有(1 )、、開放端〃屏蔽,其具有均勻分 佈之開口 3 3配置在屏蔽之圓周(如圖1 1上之曲線◦所 本紙张尺度適用中國國家椋準(CNS )八4規格(210Χ 297公從)-31 - (掮先閱讀背而之注意事項再填寫本頁)
578449 A7 ___________ H? 五、發明説明(29 ) 示),以及(2 )具有複數個寬且均勻分佈之開口 3 3形 成於其中之屏蔽(亦即,封閉端屏蔽),且僅延伸在屏蔽 1 9之大約一半的周緣上;因此,屏蔽之另一半周緣係連 續的,且未包含有開口(亦即,屏蔽之一半係、、空下來夕 的)。 二氧化矽層係配置在晶圓上,且同時對於習知技術之 屏蔽及本發明之裝置,其皆係採用在實驗1中所陳述之處 理條件。在圖1 2中,一圖表係描示出薄膜鍍覆厚度係位 置之函數,其係針對使用電漿產生裝置之此兩實施例而使 薄膜鍍覆於其上之晶圓而言。圖上顯示出開放端部屏蔽( 參照_ 1 2上之曲線C )係可以比封閉端部屏蔽,還可達 成較厚之薄膜厚度。此在薄膜厚度上之增加,係由於以開 放端部之屏蔽實施例來達成較高之離子密度。然而,該封 閉端部屏蔽係可產生比開放端部屏蔽還佳之厚度均勻度( 參照圖1 2上之曲線C )。因此,開口之分佈係可以設計 成增加鍍覆薄膜之均勻度。 經濟部中央標準局員工消f合作社印製 (請先閲讀背而之注意事項再楨寫本頁) 上述本發明之特定實施例的說明,係已經示例性地加 以說明及描述。本發明並未局限在所揭露之詳細形狀,且 很明顯地,在參照上述之教示之後,其係可以有許多修飾 、實施例及變化。本發明之範圍及其等效件係由後附之申 請專利範圍所界定。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公餘)-32 -

Claims (1)

  1. 578449 Α8 Β8 C8 D8 、申請專利範圍 附件二
    $ 8 7 1 1 6 4 5 3號專利申請幸 中文申請專利範圍無劃線替換^ 經濟部智慧財產局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁)
    民國92年4月24日修正 1 · 一種電漿產生裝置,其係用以提供電磁能以產生 一電漿,其包含: 一外殼’其具有一內部電漿容納區域以及一外部區域 該電漿 有一接 納區之 複數個 耦合至 區中之 近該線 屏蔽係 蔽之圓 大約高3 複數個4 電磁能產 容納區中 地端之線 靜電屏蔽 間,且係 開口形成 電漿容納 電漿分佈 圈且延伸 .如申請 圓筒狀, 周而形成 達屏蔽總 .如申請 開口係皆 .如申請 生源, 產生一 圈;以 ,其係 電性地 於其間 Τπ- -*7 /<!_ ^ ,其中 通過線 專利範 且複數 ,且其 面積之 專利範 具有大 專利範 其係配 電漿, 及 配置在 連接至 ,且係 磁能的 該複數 圈之接 圍第1 個開口 中該開 百分之 圍第1 致相同 圍第1 置在該外部區域內,用以在 其中該電磁能產生源包含具 該電磁 該外殻 設計成 量値及 開口具 地端。 項之電 係以大 口所形 五十。 項之電 之寬度 項之電 能產生源與 ,該靜電屏 可以控制由 該電漿容 蔽係具有 該產生源 分佈,和在電漿容納 有一部份開口設置臨 漿產生裝置 致相等的間 成之暴露面 ,其中該 距繞著屏 積,係可 駿產生裝置’其中該 漿產生裝置,其中該 本紙張尺度適用中國國家標準(CNS ) Α4規格(210 X 297公釐) 578449 A8 B8 C8 D8 ______ 六、申請專利範圍 複數個開口係具有範圍由0 . 0 6至0 · 6 3英吋之寬度 〇 5 ·如申請專利範圍第1項之電漿產生裝置,其中該 複數個開口係具有大約爲0 . 6 2英吋之寬度。 6 ·如申請專利範圍第1項之電漿產生裝置,其中複 數個開口之至少其中之一在寬度上係有所不同的。 7 .如申請專利範圍第1項之電漿產生裝置,其中複 數個開口之至少其中之一在長度上係有所不同的。 8 ·如申請專利範圍第1項之電漿產生裝置,其中該 屏蔽係圓筒狀,且複數個開口係以不同之間距繞著屏蔽之 圓周而形成。 9 .如申請專利範圍第1項之電漿產生裝置,其中該 線圈係一種盤繞共振器。 1 〇 ·如申請專利範圍第1項之電漿產生裝置,其中 複數開口之至少其中之一係包含一窄開口中間部位,其係 位在兩較寬開口部位之間,以提供在開口中間具有較少之 電磁能的電容性耦合。 1 1 ·如申請專利範圍第9項之電漿產生裝置,其中 該較窄之開口部位係具有大約爲0 · 0 6英吋之寬度。 1 2 .如申請專利範圍第9項之電漿產生裝置,其中 兩較寬開口部位之其中之一或兩者,係具有範圍由 1 . 25至1 · 50英吋之寬度。 1 3 .如申請專利範圍第1項之電漿產生裝置,其中 該電磁能產生源係一盤繞線圈,且其中靜電屏蔽係具有兩 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -2 - (請先閱讀背面之注意事項再填寫本頁) 訂 線 經濟部智慧財產局員工消費合作社印製 578449 A8 B8 C8 D8 六、申請專利範圍 端部表面,且其中該兩端部表面之兩者或其中之一係加以 接地。 (請先閲讀背面之注意事項再填寫本頁) 1 4 ·如申請專利範圍第1項之電漿產生裝置,其中 該線圈係一盤繞線圈,其具有一開放端,且該靜電屏蔽係 具有一封閉端表面以及一開放端表面,其中該複數個開口 之至少其中之一係一直貫穿該開放端表面,該封閉端表面 則係接地且面向盤繞線圈之開放端。 1 5 ·如申請專利範圍第1項之電漿產生裝置,其中 該靜電屏蔽係具有兩端部表面,每一開口具有一上端和一 底端,且該電磁能產生源係一線圈,其中該線圈係設置介 於部份之複數開口之上端和底端間。 1 6 ·如申請專利範圍第1項之電漿產生裝置,其中 該裝置係設計成可以降低由電磁能產生源在電漿容納區之 中間部位所產生之電場之強度。 1 7 ·如申請專利範圍第1項之電漿產生裝置,其中 該裝置係設計成可以增加在電漿容納區中之電漿的離子密 度。 經濟部智慧財產局員工消費合作社印製 1 8 .如申請專利範圍第1項之電漿產生裝置’其中 該裝置係設計成在電漿容納區中,可以大致均勻地分佈該 電漿。 1 9 .如申請專利範圍第1項之電漿產生裝置’其中 該裝置係設計成可以自行點火。 2 0 ·如申請專利範圍第1項之電漿產生裝置’其中 多數個開口所形成之暴露面積在約爲屏蔽總面積之百分之 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 578449 A8 B8 C8 D8 六、申請專利範圍 五至五十。 (請先閲讀背面之注意事項再填寫本頁) 2 1 .如申請專利範圍第1項之電漿產生裝置,其中 多數個開口所形成之暴露面積在約小於屏蔽總面積之百分 之四十。 2 2 .如申請專利範圍第1項之電漿產生裝置,其中 多數個開口所形成之暴露面積在約爲屏蔽總面積之百分之 十五至四十。 2 3 .如申請專利範圍第1項之電漿產生裝置,其中 多數個開口所形成之暴露面積在約爲屏蔽總面積之百分之 三十。 2 4 .如申請專利範圍第1項之電漿產生裝置,其中 該線圈係一盤繞線圈,,且其中該接地端係配置在一靠近 待處理之晶圓處,以在靠近該晶圓之處增加電漿之密度。 2 5.. —種電漿產生裝置,其係用以提供電磁能以產 生一電漿,其包含: 一電漿容納區; 經濟部智慧財產局員工消費合作社印製 一電磁能產生源,其係用以在該電漿容納區中產生一 電漿其中該電磁能產生源包含具有一接地端之線圈;以及 一靜電屏蔽,其係電性地參考至一非零電位勢,且其 係配置在該電磁能產生源與該電漿容納區之間,該靜電屏 蔽係具有複數個開口形成於其間,且係設計成可以選擇性 地控制由該產生源耦合至電漿容納區之電磁能耦合方式, 和在電漿容納區中之電漿分佈,其中該複數開口具有一部 份開口設置臨近該線圈且延伸通過線圈之接地端。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 578449 A8 B8 C8 D8 六、申請專利範圍 2 6 . —種電漿處理反應器,其包含: 一電漿室,其具有一外殼,該外殼係具有一內部電漿 容納區域以及一外部區域; 一電磁能產生源,其係配置在該外部區域內,用以在 該電漿容納區中產生一電漿其中該電磁能產生源包含具有 一接地_之線圈, 一靜電屏蔽,其係配置在該電磁能產生源與該電漿容 納區之間,且係電性地連接至該外殼,該靜電屏蔽係具有 複數個開口形成於其間,且係設計成可以控制由該產生源 耦合至電漿容納區之電磁能的量値及分佈,和在電漿容納 區中之電漿分佈其中該複數開口具有一部份開口設置臨近 該線圈且延伸通過線圈之接地端; 一處理室,其係與該電漿室相連通,藉此使電漿延伸 至該處理室中; 一晶圓支撐件,其係用以支撐一晶圓,該晶圓支撐件 係配置在該處理室中; 一氣體歧管,其係配置在該處理室中,且內包該晶圓 支撐件,用以將氣體導向晶圓支撐件,藉此使氣體可以與 電漿相互作用,以處理支撐在該晶圓支撐件上之晶圓表面 ;以及 一真空系統,其係用以將該氣體由處理室之底部移除 〇 2 7 .如申請專利範圍第2 6項之反應器,其中該屏 蔽係圓筒狀,且複數個開口係以大致相等的間距繞著屏蔽 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) _ 5 - (請先閱讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 578449 A8 B8 C8 _____D8 六、申請專利範圍 之圓周而形成’且其中該開口所形成之暴露面積,係可大 約高達屏蔽總面積之百分之五十。 2 8 ·如申請專利範圍第2 6項之反應器,其中該複 數個開口係皆具有大致相同之寬度。 2 9 ·如申請專利範圍第2 6項之反應器,其中該複 數個開口係具有範圍由〇 · 〇 6至0 . 6 3英吋之寬度。 3 0 ·如申請專利範圍第2 6項之反應器,其中該複 數個開口係具有大約爲〇 · 6 2英吋之寬度。 3 1 ·如申請專利範圍第2 6項之反應器,其中複數 個開口之至少其中之一在寬度上係有所不同的。 3 2 ·如申請專利範圍第2 6項之反應器,其中複數 個開口之至少其中之一在長度上係有所不同的。 3 3 .如申請專利範圍第2 6項之反應器,其中該屏 蔽係圓筒狀,且複數個開口係以不同之間距繞著屏蔽之圓 周而形成。 3 4 ·如申請專利範圍第2 6項之反應器,其中該線 圈係一種盤繞共振器。 3 5 ·如申請專利範圍第2 6項之反應器,其中複數 開口之至少其中之一係包含一窄開口中間部位,其係位在 兩較寬開口部位之間,以提供在開口中間具有較少之電磁 能的綿合。 3 6 ·如申請專利範圍第3 5項之反應器,其中該較 窄之開口部位係具有大約爲0 · 0 6英吋之寬度。 3 7 .如申請專利範圍第3 5項之反應器,其中兩較 (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6 - 578449 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8___、申請專利乾圍 寬開口部位之其中之一或兩者,係具有範圍由1 . 2 5至 1 · 5 0英吋之寬度。 3 8 ·如申請專利範圍第2 6項之反應器’其中該線 圈係一盤繞線圈,且其中靜電屏蔽係具有兩端部表面’且 其中該兩端部表面之兩者或其中之一係加以接地° 3 9 ·如申請專利範圍第2 6項之反應器,其中該線 圈係一盤繞線圈,其具有一開放端,且該靜電屏蔽係具有 一封閉端表面以及一開放端表面,其中該複數個開口之至 少其中之一係一直貫穿該開放端表面,該封閉端表面則係 接地且面向盤繞線圈之開放端。 4 0 ·如申請專利範圍第2 6項之反應器,其中該反 應器係設計成可以降低由電磁能產生源在電漿容納區之中 間部位所產生之電場之強度。 4 1 ·如申請專利範圍第2 6項之反應器,其中該反 應器係設計成可以增加在電漿容納區中之電漿的離子密度 0 4 2 ·如申請專利範圍第2 6項之反應器,其中該反 應器係設計成在電漿容納區中,可以大致均勻地分佈該電 漿。 4 3 ·如申請專利範圍第2 6項之反應器,其中該反 應器係設計成可以自行點火。 4 4 ·如申請專利範圍第2 6項之反應器,其中該靜 電屏蔽係具有兩端部表面,其該複數個開口之至少其中之 一係可以持續地貫穿其中一端部表面。 (請先閱讀背面之注意事項再填寫本頁) •^^1. 訂 本紙張尺度適用中國國家襟準(CNS ) Α4規格(210X297公楚) 578449 A8 B8 C8 D8 __ 六、申請專利範圍 4 5 ·如申請專利範圍第2 6之反應器,其中該靜電 屏蔽係具有兩個端部表面,每一開口具有一上端和一底端 ,且該電磁能產生源係一線圈,且其中該線圈係設置在一 部份複數開口之上端和底端間。 4 6 .如申請專利範圍第2 6項之電漿處理反應器, 其中該線圈係一盤繞線圈,且其中該接地端係配置在一靠 近待處理之晶圓處,以在靠近該晶圓之處增加電漿之密度 〇 4 7 . —種電漿產生裝置,其係用以提供電磁能以產 生一電漿,其包含: 一外殼,其具有一內部電漿容納區域以及一外部區域 9 一電磁能產生源,其係配置在該外部區域內,用以在 該電漿容納區中產生一電漿其中該電磁能產生源包含具有 一接地端之線圈;以及 一靜電屏蔽,其係配置在該電磁能產生源與該電漿容 納區之間,且係電性地連接至該外殼,該靜電屏蔽係具有 複數個開口形成於其間,且係設計成可以控制由該產生源 耦合至電漿容納區之電磁能的量値及分佈,和在電漿容納 區中之電漿分佈其中該複數開口具有一部份開口設置臨近 該線圈且延伸通過線圏之接地端,和其中該複數個開口係 以大致相等的間距繞著屏蔽之圓周而形成,且其中該開口 所形成之暴露面積,係可大約高達屏蔽總面積之百分之五 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、1T 經濟部智慧財產局員工消費合作社印製 578449 A8 B8 C8 D8 六、申請專利範圍 4 8 . —種電漿產生裝置,其係用以提供電磁能以產 生一電漿,其包含: 一外殼,其具有一內部電漿容納區域以及一外部區域 一電磁能產生源,其係配置在該外部區域內,用以在 該電漿容納區中產生一電漿其中該電磁能產生源包含具有 一接地端之線圈;以及 一靜電屏蔽,其係配置在該電磁能產生源與該電漿容 納區之間,且係電性地連接至該外殻,該靜電屏蔽係具有 複數個開口形成於其間,且係設計成可以控制由該產生源 耦合至電漿容納區之電磁能的量値及分佈,和在電漿容納 區中之電漿分佈,其中該複數開口具有一部份開口設置臨 近該線圈且延伸通過線圈之接地端,和 其中該複數開口之至少其中之一係包含一窄開口中間 部位,其係位在兩較寬開口部位之間,以提供在開口中間 具有較少之電磁能的耦合。 4 9 . 一種電漿產生裝置,其係用以提供電磁能以產 生一電漿,其包含: 一外殼,其具有一內部電漿容納區域以及一外部區域 一電磁能產生源,其係配置在該外部區域內,用以在 該電漿容納區中產生一電漿其中該電磁能產生源包含具有 一接地端之線圈;以及 •一靜電屏蔽,其係配置在該電磁能產生源與該電漿容 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 、11 線 經濟部智慧財產局員工消費合作社印製 578449 A8 B8 C8 D8 六、申請專利範圍 納區之間,且係電性地連接至該外殼,該靜電屏蔽係具有 複數個開口形成於其間,且係設計成可以控制由該產生源 耦合至電漿容納區之電磁能的量値及分佈,和在電漿容納 區中之電漿分佈,其中該複數開口具有一部份開口設置臨 近該線圈且延伸通過線圈之接地端,和 其中該複數個開口之至少其中之一係一直貫穿該靜電 屏蔽之一端部表面。 ---------Φ-I (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -1U -
TW087116453A 1997-11-17 1998-10-02 Plasma generating apparatus having an electrostatic shield TW578449B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/971,316 US5903106A (en) 1997-11-17 1997-11-17 Plasma generating apparatus having an electrostatic shield

Publications (1)

Publication Number Publication Date
TW578449B true TW578449B (en) 2004-03-01

Family

ID=25518211

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087116453A TW578449B (en) 1997-11-17 1998-10-02 Plasma generating apparatus having an electrostatic shield

Country Status (7)

Country Link
US (1) US5903106A (zh)
EP (1) EP1040500A4 (zh)
JP (1) JP2001523883A (zh)
KR (1) KR100576051B1 (zh)
AU (1) AU1589599A (zh)
TW (1) TW578449B (zh)
WO (1) WO1999026267A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114823265A (zh) * 2021-01-19 2022-07-29 Psk有限公司 法拉第屏蔽罩与处理基板的设备

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3846970B2 (ja) * 1997-04-14 2006-11-15 キヤノンアネルバ株式会社 イオン化スパッタリング装置
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
CN1156603C (zh) * 1998-12-30 2004-07-07 东京电子株式会社 大面积等离子体源
US6248251B1 (en) * 1999-02-19 2001-06-19 Tokyo Electron Limited Apparatus and method for electrostatically shielding an inductively coupled RF plasma source and facilitating ignition of a plasma
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
WO2001065590A2 (en) * 2000-03-02 2001-09-07 Tokyo Electron Limited Esrf source for ion plating epitaxial deposition
JP2002113419A (ja) * 2000-10-11 2002-04-16 Nippon Paint Co Ltd メタリック塗膜の補修方法
US20040200576A1 (en) * 2001-04-23 2004-10-14 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
KR100483886B1 (ko) * 2002-05-17 2005-04-20 (주)엔피씨 나노분말 양산용 고주파 유도 플라즈마 반응로
US20040107561A1 (en) * 2002-09-30 2004-06-10 Tokyo Electron Limited Electrostatically shielded radio frequency plasma apparatus and method of manufacturing
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
KR100673389B1 (ko) 2004-06-03 2007-01-24 세메스 주식회사 플라즈마 처리 장치
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
JP5451324B2 (ja) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
US8894805B2 (en) * 2011-10-20 2014-11-25 Applied Materials, Inc. Electron beam plasma source with profiled magnet shield for uniform plasma generation
US8951384B2 (en) 2011-10-20 2015-02-10 Applied Materials, Inc. Electron beam plasma source with segmented beam dump for uniform plasma generation
US9129777B2 (en) 2011-10-20 2015-09-08 Applied Materials, Inc. Electron beam plasma source with arrayed plasma sources for uniform plasma generation
KR101382881B1 (ko) 2012-12-10 2014-04-08 박종신 반도체 진공 장비의 매니폴드
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6239666B2 (ja) * 2016-03-23 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101856135B1 (ko) * 2017-01-26 2018-05-10 피에스케이 주식회사 플라스마 소스 및 이를 포함하는 기판 처리 장치
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
TWI713414B (zh) * 2017-10-23 2020-12-11 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR102074115B1 (ko) * 2018-03-22 2020-02-05 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 및 정전 실드
CN114724907A (zh) * 2021-01-04 2022-07-08 江苏鲁汶仪器有限公司 一种等离子密度可调的离子源装置
KR102553189B1 (ko) * 2021-12-29 2023-07-10 피에스케이 주식회사 기판 처리 장치

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS639761U (zh) * 1986-07-07 1988-01-22
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
TW249313B (zh) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3290777B2 (ja) * 1993-09-10 2002-06-10 株式会社東芝 誘導結合型高周波放電方法および誘導結合型高周波放電装置
JPH07258843A (ja) * 1994-03-24 1995-10-09 Ulvac Japan Ltd スパッタ装置
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
EP0685873B1 (en) * 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JPH0850996A (ja) * 1994-08-05 1996-02-20 Aneruba Kk プラズマ処理装置
GB9417763D0 (en) * 1994-08-31 1994-10-19 Univ Edinburgh Debris monitoring transducer
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3150058B2 (ja) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
JP3429391B2 (ja) * 1995-05-22 2003-07-22 株式会社アルバック プラズマ処理方法及び装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0955299A (ja) * 1995-08-11 1997-02-25 Fujitsu Ltd プラズマ処理装置
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114823265A (zh) * 2021-01-19 2022-07-29 Psk有限公司 法拉第屏蔽罩与处理基板的设备
TWI813110B (zh) * 2021-01-19 2023-08-21 南韓商Psk有限公司 法拉第屏蔽罩與處理基板的設備

Also Published As

Publication number Publication date
EP1040500A1 (en) 2000-10-04
EP1040500A4 (en) 2007-01-10
WO1999026267A1 (en) 1999-05-27
JP2001523883A (ja) 2001-11-27
KR100576051B1 (ko) 2006-05-09
AU1589599A (en) 1999-06-07
US5903106A (en) 1999-05-11
KR20010032200A (ko) 2001-04-16

Similar Documents

Publication Publication Date Title
TW578449B (en) Plasma generating apparatus having an electrostatic shield
TW507253B (en) Plasma processing apparatus and method thereof
KR100486712B1 (ko) 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
TW417134B (en) Distributed inductively-coupled plasma source
TW392245B (en) ECR plasma generator and an ECR system using the generator
TW518673B (en) Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
TW312815B (zh)
JP4610191B2 (ja) プラズマを生成するための手順および装置
KR101920842B1 (ko) 플라즈마 소스 디자인
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
KR100565128B1 (ko) 플라즈마 처리 장치
JP4904202B2 (ja) プラズマ反応器
TW506004B (en) Plasma processing method and apparatus
TWI326940B (en) Antenna for producing uniform process rates
US20150200075A1 (en) Plasma Reactor with Inductive Excitation of Plasma and Efficient Removal of Heat from the Excitation Coil
US6518705B2 (en) Method and apparatus for producing uniform process rates
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
JP2004533096A (ja) 誘導結合高密度プラズマ源
JP3840821B2 (ja) プラズマ処理装置
TW557643B (en) Inductively coupled plasma processor
KR102589743B1 (ko) 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
TW404146B (en) Equipment for fabricating semiconductor device using plasma
TW201603652A (zh) 使用雙電漿源產生電漿之裝置及包括該裝置的用於處理基板之裝置
KR101281191B1 (ko) 유도 결합 플라즈마 반응기

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees